===> Building for veriwell-2.8.7
/opt/pkg/bin/bmake  all-recursive
Making all in replace
Making all in .
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=compile clang -DHAVE_CONFIG_H -I. -I.. -I.. -I..   -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT readline.lo -MD -MP -MF .deps/readline.Tpo -c -o readline.lo readline.c
mkdir .libs
 clang -DHAVE_CONFIG_H -I. -I.. -I.. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT readline.lo -MD -MP -MF .deps/readline.Tpo -c readline.c  -fno-common -DPIC -o .libs/readline.o
 clang -DHAVE_CONFIG_H -I. -I.. -I.. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT readline.lo -MD -MP -MF .deps/readline.Tpo -c readline.c -o readline.o >/dev/null 2>&1
mv -f .deps/readline.Tpo .deps/readline.Plo
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=link clang  -pipe -Os -I/opt/pkg/include   -L/opt/pkg/lib -o libreplace.la   readline.lo   -lreadline
ar cru .libs/libreplace.a .libs/readline.o
ranlib .libs/libreplace.a
creating libreplace.la
(cd .libs && rm -f libreplace.la && ln -s ../libreplace.la libreplace.la)
Making all in lxt
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=compile clang -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include -I ../src   -pipe -Os -I/opt/pkg/include -MT lxt.lo -MD -MP -MF .deps/lxt.Tpo -c -o lxt.lo lxt.c
mkdir .libs
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt.lo -MD -MP -MF .deps/lxt.Tpo -c lxt.c  -fno-common -DPIC -o .libs/lxt.o
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt.lo -MD -MP -MF .deps/lxt.Tpo -c lxt.c -o lxt.o >/dev/null 2>&1
mv -f .deps/lxt.Tpo .deps/lxt.Plo
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=compile clang -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include -I ../src   -pipe -Os -I/opt/pkg/include -MT lxt_write.lo -MD -MP -MF .deps/lxt_write.Tpo -c -o lxt_write.lo lxt_write.c
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt_write.lo -MD -MP -MF .deps/lxt_write.Tpo -c lxt_write.c  -fno-common -DPIC -o .libs/lxt_write.o
lxt_write.c:1719:2: warning: add explicit braces to avoid dangling else [-Wdangling-else]
        else
        ^
lxt_write.c:2350:2: warning: add explicit braces to avoid dangling else [-Wdangling-else]
        else
        ^
2 warnings generated.
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt_write.lo -MD -MP -MF .deps/lxt_write.Tpo -c lxt_write.c -o lxt_write.o >/dev/null 2>&1
mv -f .deps/lxt_write.Tpo .deps/lxt_write.Plo
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=compile clang -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include -I ../src   -pipe -Os -I/opt/pkg/include -MT lxt2.lo -MD -MP -MF .deps/lxt2.Tpo -c -o lxt2.lo lxt2.c
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt2.lo -MD -MP -MF .deps/lxt2.Tpo -c lxt2.c  -fno-common -DPIC -o .libs/lxt2.o
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt2.lo -MD -MP -MF .deps/lxt2.Tpo -c lxt2.c -o lxt2.o >/dev/null 2>&1
mv -f .deps/lxt2.Tpo .deps/lxt2.Plo
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=compile clang -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include -I ../src   -pipe -Os -I/opt/pkg/include -MT lxt2_write.lo -MD -MP -MF .deps/lxt2_write.Tpo -c -o lxt2_write.lo lxt2_write.c
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt2_write.lo -MD -MP -MF .deps/lxt2_write.Tpo -c lxt2_write.c  -fno-common -DPIC -o .libs/lxt2_write.o
 clang -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -I ../src -pipe -Os -I/opt/pkg/include -MT lxt2_write.lo -MD -MP -MF .deps/lxt2_write.Tpo -c lxt2_write.c -o lxt2_write.o >/dev/null 2>&1
mv -f .deps/lxt2_write.Tpo .deps/lxt2_write.Plo
/opt/pkg/bin/mksh ../libtool --tag=CC    --mode=link clang -I ../src   -pipe -Os -I/opt/pkg/include  -version-info 0:0:0 -L/opt/pkg/lib -o liblxt.la  lxt.lo lxt_write.lo lxt2.lo lxt2_write.lo  -lreadline
libtool: link: warning: `-version-info/-version-number' is ignored for convenience libraries
ar cru .libs/liblxt.a .libs/lxt.o .libs/lxt_write.o .libs/lxt2.o .libs/lxt2_write.o
ranlib .libs/liblxt.a
creating liblxt.la
(cd .libs && rm -f liblxt.la && ln -s ../liblxt.la liblxt.la)
Making all in src
echo '#define BINDIR "/opt/pkg/bin"' >build.h
echo '#define LIBDIR "/opt/pkg/lib"' >>build.h
echo '#define INCLUDEDIR "/opt/pkg/include"' >>build.h
echo '#define CFLAGS "-pipe -Os -I/opt/pkg/include"' >>build.h
echo '#define LDFLAGS "-L/opt/pkg/lib"' >>build.h
echo '#define LIBS "-lreadline -lz -lbz2"' >>build.h
/opt/pkg/bin/bmake  all-am
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT multdiv.lo -MD -MP -MF .deps/multdiv.Tpo -c -o multdiv.lo multdiv.cc
mkdir .libs
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT multdiv.lo -MD -MP -MF .deps/multdiv.Tpo -c multdiv.cc  -fno-common -DPIC -o .libs/multdiv.o
In file included from multdiv.cc:25:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
2 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT multdiv.lo -MD -MP -MF .deps/multdiv.Tpo -c multdiv.cc -o multdiv.o >/dev/null 2>&1
mv -f .deps/multdiv.Tpo .deps/multdiv.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT print.lo -MD -MP -MF .deps/print.Tpo -c -o print.lo print.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT print.lo -MD -MP -MF .deps/print.Tpo -c print.cc  -fno-common -DPIC -o .libs/print.o
In file included from print.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from print.cc:29:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
print.cc:52:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Out of memory while displaying a constant", NULL, NULL);
                  ^
print.cc:63:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    fprintf_V(handle, "%s", print_buf);
                      ^
print.cc:70:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, " ");
                              ^
print.cc:72:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "\\n");
                          ^
print.cc:74:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "\\\"");
                          ^
print.cc:76:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "\\\\");
                          ^
print.cc:78:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "\\t");
                          ^
print.cc:80:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "\\%.3o", c);
                          ^
print.cc:82:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "%c", c);
                          ^
print.cc:147:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "x");
                              ^
print.cc:149:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "X");
                              ^
print.cc:151:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "z");
                              ^
print.cc:153:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "Z");
                              ^
print.cc:161:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "X");
                          ^
print.cc:164:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "Z");
                          ^
print.cc:168:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "x");
                              ^
print.cc:170:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "X");
                              ^
print.cc:174:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "z");
                              ^
print.cc:176:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "X");
                              ^
print.cc:178:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "Z");
                              ^
print.cc:195:13: warning: 7 enumeration values not handled in switch: 'DEC', 'STRING_', 'CHAR_'... [-Wswitch]
    switch (radix) {
            ^
print.cc:195:13: note: add missing switch cases
    switch (radix) {
            ^
print.cc:428:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%d'h", print_nbits);
                     ^
print.cc:432:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%d'o", print_nbits);
                     ^
print.cc:439:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%d'b", print_nbits);
                     ^
print.cc:450:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%c", AVAL(g));
                 ^
print.cc:475:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "%s", print_buf);
                          ^
print.cc:482:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "%s", print_buf);
                          ^
print.cc:488:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "%s", print_buf);
                              ^
print.cc:493:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, "%s", print_buf);
                          ^
print.cc:507:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("%s%s @ %p; chain: %p; len: %i; type: %u\n",
                   ^
print.cc:547:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("%s\nVersion %s\n\n", VERIWELL, VERSION);
             ^
print.cc:548:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\n");
             ^
print.cc:549:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Number of objects: %u\n", num_nodes);
             ^
print.cc:550:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Primitive objects: %u\n", num_nodes_g);
             ^
print.cc:551:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Expression objects: %u\n", num_nodes_e);
             ^
print.cc:552:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Block objects: %u\n", num_nodes_b);
             ^
print.cc:553:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Statement objects: %u\n", num_nodes_s);
             ^
print.cc:554:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Reference objects: %u\n", num_nodes_r);
             ^
print.cc:555:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Constant objects: %u\n", num_nodes_c);
             ^
print.cc:556:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Decl objects: %u\n", num_nodes_d);
             ^
print.cc:557:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("  Misc objects: %u\n", num_nodes_x);
             ^
print.cc:558:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Largest Expression: %u\n", big_label);
             ^
print.cc:559:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Stack size: %u\n", stack_size);
             ^
print.cc:560:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Stack objects: %u\n", max_label);
             ^
print.cc:571:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(", ");
                     ^
print.cc:582:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", IDENTIFIER_POINTER(node));
                 ^
print.cc:585:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("{");
                 ^
print.cc:588:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("}");
                 ^
print.cc:592:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(ARRAY_REF_NAME(node)));
                     ^
print.cc:594:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(DECL_NAME(ARRAY_REF_DECL(node))));
                     ^
print.cc:595:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("[");
                 ^
print.cc:597:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("]");
                 ^
print.cc:601:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(BIT_REF_NAME(node)));
                     ^
print.cc:603:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(DECL_NAME(BIT_REF_DECL(node))));
                     ^
print.cc:604:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("[");
                 ^
print.cc:606:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("]");
                 ^
print.cc:609:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("{");
                 ^
print.cc:611:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" ");
                 ^
print.cc:613:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("{");
                 ^
print.cc:618:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(", ");
                         ^
print.cc:621:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("}");
                 ^
print.cc:623:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("}");
                     ^
print.cc:627:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(PART_NAME(node)));
                     ^
print.cc:629:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENT(DECL_NAME(PART_DECL(node))));
                     ^
print.cc:630:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("[");
                 ^
print.cc:632:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(": ");
                 ^
print.cc:634:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("]");
                 ^
print.cc:640:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", IDENT(REF_NAME(node)));
                 ^
print.cc:644:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", FUNC_REF_NAME(node));
                 ^
print.cc:645:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" (");
                 ^
print.cc:647:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:651:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Unimplemeted reference in trace: %s",
                 ^
print.cc:664:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("#");
             ^
print.cc:668:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
print.cc:673:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(", ");
                     ^
print.cc:681:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:682:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(" ");
             ^
print.cc:687:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("#");
             ^
print.cc:689:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
print.cc:692:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:699:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("@");
             ^
print.cc:712:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
print.cc:716:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" OR ");
                         ^
print.cc:718:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:725:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(" = ");
             ^
print.cc:740:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", IDENTIFIER_POINTER(DECL_NAME(node)));
                 ^
print.cc:748:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%ld", INT_CST_DATA(node));
                     ^
print.cc:753:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%lf", REAL_CST_DATA(node));
                     ^
print.cc:755:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V((handle_t) 1, "\"");
                                    ^
print.cc:758:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V((handle_t) 1, "\"");
                                    ^
print.cc:771:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" %s ", tree_code_name[code]);
                     ^
print.cc:777:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("%s", tree_code_name[code]);
                         ^
print.cc:782:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" %s ", tree_code_name[code]);
                     ^
print.cc:786:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("(");
                     ^
print.cc:788:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" ? ");
                     ^
print.cc:790:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" : ");
                     ^
print.cc:792:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(")");
                     ^
print.cc:800:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" %s ", tree_code_name[code]);
                     ^
print.cc:801:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("(");
                     ^
print.cc:803:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(")");
                     ^
print.cc:806:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("Expression type not implemented in trace\n");
                     ^
print.cc:811:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("(");
                         ^
print.cc:813:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(")");
                         ^
print.cc:825:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%s", IDENTIFIER_POINTER(node));
                     ^
print.cc:827:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("Unimplemeted reference in Trace: %s",
                     ^
print.cc:833:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Unimplemeted reference in Trace: %s",
                 ^
print.cc:845:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("ALWAYS");
                 ^
print.cc:849:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("INITIAL");
                 ^
print.cc:853:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("BEGIN");
                 ^
print.cc:857:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("END");
                 ^
print.cc:861:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("BEGIN : %s", IDENT(BLOCK_NAME(STMT_BLOCK(node))));
                 ^
print.cc:865:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("END : %s", IDENT(BLOCK_NAME(STMT_BLOCK(node))));
                 ^
print.cc:869:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("FORK");
                 ^
print.cc:873:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("JOIN");
                 ^
print.cc:877:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("FOREVER");
                 ^
print.cc:881:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WHILE (");
                 ^
print.cc:883:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:887:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("IF (");
                 ^
print.cc:889:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:894:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("REPEAT (");
                 ^
print.cc:896:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:900:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("CASE (");
                 ^
print.cc:903:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("CASEX (");
                 ^
print.cc:906:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("CASEZ (");
                 ^
print.cc:909:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:913:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("ASSIGN ");
                 ^
print.cc:917:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("FORCE ");
                 ^
print.cc:923:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(";");
                 ^
print.cc:929:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" = ");
                 ^
print.cc:934:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" ");
                 ^
print.cc:936:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(";");
                 ^
print.cc:942:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" <= ");
                 ^
print.cc:947:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" ");
                 ^
print.cc:949:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(";");
                 ^
print.cc:953:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("For (");
                 ^
print.cc:956:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("; ");
                 ^
print.cc:958:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(";");
                 ^
print.cc:960:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" ");
                     ^
print.cc:970:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WAIT ");
                 ^
print.cc:979:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("-> ");
                 ^
print.cc:985:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", STMT_TASK_NAME(node));
                 ^
print.cc:987:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" (");
                     ^
print.cc:989:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(")");
                     ^
print.cc:1001:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", FUNC_REF_NAME(node));
                 ^
print.cc:1002:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" (");
                 ^
print.cc:1004:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
print.cc:1008:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("DISABLE %s",
                 ^
print.cc:1013:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("DEASSIGN %s", IDENT(DECL_NAME(STMT_BODY(node))));
                 ^
print.cc:1017:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("RELEASE %s;", IDENT(DECL_NAME(STMT_BODY(node))));
                 ^
print.cc:1021:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(";");
                 ^
print.cc:1025:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Statement not known to print_node: %s\n",
                 ^
print.cc:1107:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("*No memory for decimal conversion*");
                     ^
print.cc:1166:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            ("*Not enough memory for decimal conversion*");
                             ^
print.cc:1191:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("*Not enough memory for decimal conversion*");
                     ^
print.cc:1294:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fprintf_V(handle, ".");
                          ^
print.cc:1296:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    fprintf_V(handle, "%s", IDENT(BLOCK_NAME(scope)));
                      ^
159 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT print.lo -MD -MP -MF .deps/print.Tpo -c print.cc -o print.o >/dev/null 2>&1
mv -f .deps/print.Tpo .deps/print.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT usertask.lo -MD -MP -MF .deps/usertask.Tpo -c -o usertask.lo usertask.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT usertask.lo -MD -MP -MF .deps/usertask.Tpo -c usertask.cc  -fno-common -DPIC -o .libs/usertask.o
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT usertask.lo -MD -MP -MF .deps/usertask.Tpo -c usertask.cc -o usertask.o >/dev/null 2>&1
mv -f .deps/usertask.Tpo .deps/usertask.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT flags.lo -MD -MP -MF .deps/flags.Tpo -c -o flags.lo flags.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT flags.lo -MD -MP -MF .deps/flags.Tpo -c flags.cc  -fno-common -DPIC -o .libs/flags.o
In file included from flags.cc:23:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
2 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT flags.lo -MD -MP -MF .deps/flags.Tpo -c flags.cc -o flags.o >/dev/null 2>&1
mv -f .deps/flags.Tpo .deps/flags.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT store.lo -MD -MP -MF .deps/store.Tpo -c -o store.lo store.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT store.lo -MD -MP -MF .deps/store.Tpo -c store.cc  -fno-common -DPIC -o .libs/store.o
In file included from store.cc:27:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
store.cc:519:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Internal error: Unimplemented lval reference: %s",
              ^
3 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT store.lo -MD -MP -MF .deps/store.Tpo -c store.cc -o store.o >/dev/null 2>&1
mv -f .deps/store.Tpo .deps/store.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT nsched.lo -MD -MP -MF .deps/nsched.Tpo -c -o nsched.lo nsched.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT nsched.lo -MD -MP -MF .deps/nsched.Tpo -c nsched.cc  -fno-common -DPIC -o .libs/nsched.o
In file included from nsched.cc:33:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from nsched.cc:34:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
3 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT nsched.lo -MD -MP -MF .deps/nsched.Tpo -c nsched.cc -o nsched.o >/dev/null 2>&1
mv -f .deps/nsched.Tpo .deps/nsched.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT verisys.lo -MD -MP -MF .deps/verisys.Tpo -c -o verisys.lo verisys.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT verisys.lo -MD -MP -MF .deps/verisys.Tpo -c verisys.cc  -fno-common -DPIC -o .libs/verisys.o
In file included from verisys.cc:28:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from verisys.cc:30:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
verisys.cc:62:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 0, timeformatcheck, 0, timeformatcall, 0, "$timeformat"},
                                                         ^
verisys.cc:64:6: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
     "$showallinstances"},
     ^
verisys.cc:65:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 0, pcheck, 0, p, pmisc, "$p"},
                                       ^
verisys.cc:66:53: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 1, mon_check, 0, mon_call, mon_misc, "$my_monitor"},
                                                    ^
verisys.cc:69:6: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
     "$$dumpstructure"},
     ^
verisys.cc:70:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 0, 0, 0, abort_call, 0, "$$abort"},
                                       ^
verisys.cc:71:43: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 0, 0, 0, setvalue_call, 0, "$$testsetvalue"},
                                          ^
verisys.cc:73:53: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {usertask, 0, sdf_check, 0, sdf_call, sdf_misc, "$sdf_annotate"},
                                                    ^
verisys.cc:75:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                                0, "$dist_uniform"},
                                                   ^
verisys.cc:77:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                                0, "$dist_normal"},
                                                   ^
verisys.cc:79:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                                0, "$dist_exponential"},
                                                   ^
verisys.cc:81:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                                "$dist_poisson"},
                                                ^
verisys.cc:83:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                                0, "$dist_chi_square"},
                                                   ^
verisys.cc:84:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {userfunction, 0, dist_t, dist_t, dist_t, 0, "$dist_t"},
                                                 ^
verisys.cc:85:65: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    {userfunction, 0, dist_erlang, dist_erlang, dist_erlang, 0, "$dist_erlang"},
                                                                ^
verisys.cc:139:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    acc_configure(accDevelopmentVersion, "1.6a");
                                         ^
verisys.cc:143:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("parameter #%d to $my_monitor illegal", i);
                     ^
verisys.cc:147:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("parameter #%d to $my_monitor illegal", i);
                     ^
verisys.cc:150:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("parameter #%d to $my_monitor illegal", i);
                     ^
verisys.cc:163:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    acc_configure(accDevelopmentVersion, "1.6a");
                                         ^
verisys.cc:174:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        value_str = acc_fetch_value(mon_array[i].param, "%b");
                                                        ^
verisys.cc:189:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Unknown value...");
                     ^
verisys.cc:212:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal callback from VCL");
                 ^
verisys.cc:224:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("%s ", tf_strgettime());
                  ^
verisys.cc:229:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                value = "0";
                        ^
verisys.cc:233:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                value = "1";
                        ^
verisys.cc:237:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                value = "x";
                        ^
verisys.cc:241:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                value = "z";
                        ^
verisys.cc:244:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("%s=%s ", mon_array[i].name, value);
                      ^
verisys.cc:246:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("\n");
                  ^
verisys.cc:354:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("$showallinstances takes no arguments");
                     ^
verisys.cc:394:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("$showallinstances: not enough memory");
                 ^
verisys.cc:446:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("$showallinstances: Out of memory");
                 ^
verisys.cc:542:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("Total number of module instances = %d\n", moduleCount);
              ^
verisys.cc:543:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("Total number of primitive instances = %d\n",
              ^
verisys.cc:551:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                io_printf("\ttop level module %s, from file \"%s\"\n",
                          ^
verisys.cc:556:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                io_printf("\t%d of primitive %s, from file \"%s\"\n",
                          ^
verisys.cc:560:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                io_printf("\t%d of module %s, from file \"%s\"\n",
                          ^
verisys.cc:568:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("Total number of gates = %d\n", gateCount);
              ^
verisys.cc:575:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                io_printf("\t%d of %s\n", entry->count, entry->name);
                          ^
43 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT verisys.lo -MD -MP -MF .deps/verisys.Tpo -c verisys.cc -o verisys.o >/dev/null 2>&1
mv -f .deps/verisys.Tpo .deps/verisys.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT check.lo -MD -MP -MF .deps/check.Tpo -c -o check.lo check.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT check.lo -MD -MP -MF .deps/check.Tpo -c check.cc  -fno-common -DPIC -o .libs/check.o
In file included from check.cc:24:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
check.cc:90:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Expression has too many subexpressions", NULL_CHAR,
              ^
check.cc:179:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Expression has too many subexpressions", NULL_CHAR,
              ^
check.cc:218:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal reference to array '%s'",
                      ^
check.cc:244:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' not declared", IDENT(node), NULL_CHAR);
                  ^
check.cc:252:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' previously declared", IDENT(node), NULL_CHAR);
                  ^
check.cc:284:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Illegal Lvalue", NULL_CHAR, NULL_CHAR);
              ^
check.cc:294:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Lval '%s' cannot be a net", IDENT(node), NULL_CHAR);
              ^
check.cc:304:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Continuous assignment lval '%s' not a net",
                  ^
check.cc:322:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Shouldn't here for port connections", NULL);
              ^
check.cc:451:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' not declared", IDENT(ident), NULL_CHAR);
                  ^
check.cc:457:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is a module type and is being usd illegally",
              ^
check.cc:461:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is an EVENT type and is being used illegally",
              ^
check.cc:465:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Illegal array reference: '%s'", IDENT(ident), NULL_CHAR);
              ^
check.cc:483:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' not declared", IDENT(ident), NULL_CHAR);
                  ^
check.cc:489:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is an EVENT type and is being used illegally",
              ^
check.cc:503:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Block '%s' previously declared", IDENT(ident), NULL_CHAR);
              ^
check.cc:545:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not of a type that supports bit indexing",
              ^
check.cc:575:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not a vector", IDENT(ident), NULL_CHAR);
              ^
check.cc:579:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("'%s' is an array; it cannot be be referenced as a part-select",
             ^
check.cc:590:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not of a type that supports part-selects",
              ^
check.cc:604:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("The name '%s' is already used", IDENT(ident),
                  ^
check.cc:623:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("The name '%s' is previously declared", IDENT(node),
              ^
check.cc:638:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("The name '%s' is already used", IDENT(node), NULL_CHAR);
              ^
check.cc:653:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("The name '%s' has already been declared",
                  ^
check.cc:657:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("The port '%s' has already been redefined",
                  ^
check.cc:662:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Input port '%s' cannot be redefined as a REG",
                  ^
check.cc:677:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("The name '%s' has already been declared",
              ^
check.cc:692:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("The name '%s' has already been declared",
              ^
check.cc:696:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("The port '%s' has already been redefined",
              ^
check.cc:715:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' has already been declared", IDENT(node),
                  ^
check.cc:728:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' is not in the port list", IDENT(node), NULL_CHAR);
                  ^
check.cc:731:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("'%s' is not in the port list", IDENT(node), NULL_CHAR);
                  ^
check.cc:747:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Event '%s' is not defined\n", IDENT(ident), NULL_CHAR);
              ^
check.cc:752:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not an EVENT type.\n", IDENT(ident), NULL_CHAR);
              ^
check.cc:768:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not an EVENT type", IDENT(ident), NULL_CHAR);
              ^
check.cc:786:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Illegal block reference '%s'", IDENT(ident), NULL_CHAR);
              ^
38 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT check.lo -MD -MP -MF .deps/check.Tpo -c check.cc -o check.o >/dev/null 2>&1
mv -f .deps/check.Tpo .deps/check.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT gates.lo -MD -MP -MF .deps/gates.Tpo -c -o gates.lo gates.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT gates.lo -MD -MP -MF .deps/gates.Tpo -c gates.cc  -fno-common -DPIC -o .libs/gates.o
In file included from gates.cc:32:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from gates.cc:35:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
gates.cc:120:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Incorrect number of arguments specified for primitive",
                  ^
gates.cc:133:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:137:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Too many arguments specified for primitive", NULL,
                  ^
gates.cc:152:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:156:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:164:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:168:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:176:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:180:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:188:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:192:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:200:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:204:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:218:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Not enough arguments specified for primitive", NULL,
                  ^
gates.cc:222:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("To many arguments specified for primitive", NULL, NULL);
                  ^
gates.cc:239:10: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(TREE_EXPR(t))) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
gates.cc:239:10: note: add missing switch cases
        switch (TREE_CODE(TREE_EXPR(t))) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
gates.cc:328:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Delays are not allowed in this type of primitive", NULL,
              ^
gates.cc:333:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Too many delays specified for this type of primitive",
              ^
gates.cc:373:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Primitive output must be scalar", NULL, NULL);
                  ^
gates.cc:378:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    error("Primitive output must be a net", NULL, NULL);
          ^
23 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT gates.lo -MD -MP -MF .deps/gates.Tpo -c gates.cc -o gates.o >/dev/null 2>&1
mv -f .deps/gates.Tpo .deps/gates.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT schedule.lo -MD -MP -MF .deps/schedule.Tpo -c -o schedule.lo schedule.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT schedule.lo -MD -MP -MF .deps/schedule.Tpo -c schedule.cc  -fno-common -DPIC -o .libs/schedule.o
In file included from schedule.cc:27:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from schedule.cc:36:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
schedule.cc:137:33: warning: format specifies type 'void *' but the argument has underlying type 'unsigned int' [-Wformat]
    printf("  scb->list: %p\n", scb->list);
                         ~~     ^~~~~~~~~
                         %u
schedule.cc:671:13: warning: enumeration values 'READY_LIST' and 'NET_LIST' not handled in switch [-Wswitch]
    switch (remove_list) {
            ^
schedule.cc:671:13: note: add missing switch cases
    switch (remove_list) {
            ^
5 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT schedule.lo -MD -MP -MF .deps/schedule.Tpo -c schedule.cc -o schedule.o >/dev/null 2>&1
mv -f .deps/schedule.Tpo .deps/schedule.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT strobe.lo -MD -MP -MF .deps/strobe.Tpo -c -o strobe.lo strobe.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT strobe.lo -MD -MP -MF .deps/strobe.Tpo -c strobe.cc  -fno-common -DPIC -o .libs/strobe.o
In file included from strobe.cc:25:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from strobe.cc:27:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
3 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT strobe.lo -MD -MP -MF .deps/strobe.Tpo -c strobe.cc -o strobe.o >/dev/null 2>&1
mv -f .deps/strobe.Tpo .deps/strobe.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT glue.lo -MD -MP -MF .deps/glue.Tpo -c -o glue.lo glue.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT glue.lo -MD -MP -MF .deps/glue.Tpo -c glue.cc  -fno-common -DPIC -o .libs/glue.o
In file included from glue.cc:34:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from glue.cc:35:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
3 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT glue.lo -MD -MP -MF .deps/glue.Tpo -c glue.cc -o glue.o >/dev/null 2>&1
mv -f .deps/glue.Tpo .deps/glue.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT obstack.lo -MD -MP -MF .deps/obstack.Tpo -c -o obstack.lo obstack.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT obstack.lo -MD -MP -MF .deps/obstack.Tpo -c obstack.cc  -fno-common -DPIC -o .libs/obstack.o
In file included from obstack.cc:21:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from obstack.cc:24:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
3 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT obstack.lo -MD -MP -MF .deps/obstack.Tpo -c obstack.cc -o obstack.o >/dev/null 2>&1
mv -f .deps/obstack.Tpo .deps/obstack.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT scope.lo -MD -MP -MF .deps/scope.Tpo -c -o scope.lo scope.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT scope.lo -MD -MP -MF .deps/scope.Tpo -c scope.cc  -fno-common -DPIC -o .libs/scope.o
In file included from scope.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
scope.cc:282:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("Component '%s' is not in up, down, or top-level path",
                         ^
scope.cc:297:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    ("Component '%s' is not in up, down, or top-level path",
                     ^
scope.cc:301:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                warning("Hierarchical component '%s' found on upward path",
                        ^
scope.cc:330:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    warning("Identifier '%s' found on upward path", name,
                            ^
scope.cc:342:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    warning("Identifier '%s' found on upward path", name,
                            ^
scope.cc:348:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    error("Identifier '%s' not declared", name, NULL_CHAR);
          ^
scope.cc:372:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Scope case not handled yet", NULL_CHAR, NULL_CHAR);
              ^
9 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT scope.lo -MD -MP -MF .deps/scope.Tpo -c scope.cc -o scope.o >/dev/null 2>&1
mv -f .deps/scope.Tpo .deps/scope.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT timescal.lo -MD -MP -MF .deps/timescal.Tpo -c -o timescal.lo timescal.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT timescal.lo -MD -MP -MF .deps/timescal.Tpo -c timescal.cc  -fno-common -DPIC -o .libs/timescal.o
In file included from timescal.cc:30:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from timescal.cc:39:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
timescal.cc:76:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                             ^
timescal.cc:76:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                                  ^
timescal.cc:76:41: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                                        ^
timescal.cc:76:47: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                                              ^
timescal.cc:76:53: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                                                    ^
timescal.cc:76:59: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
static char *unitnames[] = { "s", "ms", "us", "ns", "ps", "fs" };
                                                          ^
timescal.cc:139:44: warning: implicit conversion from 'unsigned long' to 'int' changes value from 18446744073709551584 to -32 [-Wconstant-conversion]
    ptime->timeh = (unsigned int) ldexp(y, -(sizeof(Bit) * 8)); /* div 2^32 */
                                  ~~~~~    ^~~~~~~~~~~~~~~~~~
timescal.cc:158:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("`timescale directive must be outside a module", NULL,
                ^
timescal.cc:164:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Modules defined before `timescale encountered", NULL, NULL);
              ^
timescal.cc:195:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("`timescale unit spec must be 1, 10 or 100", NULL, NULL);
              ^
timescal.cc:221:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("`timescale units must be s, ms, us, ps, ot fs", NULL, NULL);
              ^
timescal.cc:234:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("`timescale precision spec is missing /", NULL, NULL);
              ^
timescal.cc:264:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("`timescale precision spec must be 1, 10 or 100", NULL,
              ^
timescal.cc:291:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("`timescale precision must be s, ms, us, ps, or fs", NULL,
              ^
17 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT timescal.lo -MD -MP -MF .deps/timescal.Tpo -c timescal.cc -o timescal.o >/dev/null 2>&1
mv -f .deps/timescal.Tpo .deps/timescal.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT systask.lo -MD -MP -MF .deps/systask.Tpo -c -o systask.lo systask.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT systask.lo -MD -MP -MF .deps/systask.Tpo -c systask.cc  -fno-common -DPIC -o .libs/systask.o
In file included from systask.cc:31:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from systask.cc:39:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
systask.cc:101:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$scope", SCOPE,
    ^
systask.cc:102:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$showscopes", SHOWSCOPES,
    ^
systask.cc:103:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$display", DISPLAY,
    ^
systask.cc:104:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$displayh", DISPLAYH,
    ^
systask.cc:105:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$displayb", DISPLAYB,
    ^
systask.cc:106:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$displayo", DISPLAYO,
    ^
systask.cc:107:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$write", WRITE,
    ^
systask.cc:108:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$writeh", WRITEH,
    ^
systask.cc:109:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$writeb", WRITEB,
    ^
systask.cc:110:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$writeo", WRITEO,
    ^
systask.cc:111:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fdisplay", FDISPLAY,
    ^
systask.cc:112:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fdisplayh", FDISPLAYH,
    ^
systask.cc:113:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fdisplayb", FDISPLAYB,
    ^
systask.cc:114:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fdisplayo", FDISPLAYO,
    ^
systask.cc:115:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fwrite", T_FWRITE,
    ^
systask.cc:116:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fwriteh", T_FWRITEH,
    ^
systask.cc:117:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fwriteb", T_FWRITEB,
    ^
systask.cc:118:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fwriteo", T_FWRITEO,
    ^
systask.cc:119:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitor", MONITOR,
    ^
systask.cc:120:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitorb", MONITORB,
    ^
systask.cc:121:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitorh", MONITORH,
    ^
systask.cc:122:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitoro", MONITORO,
    ^
systask.cc:123:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fmonitor", FMONITOR,
    ^
systask.cc:124:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fmonitorb", FMONITORB,
    ^
systask.cc:125:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fmonitorh", FMONITORH,
    ^
systask.cc:126:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fmonitoro", FMONITORO,
    ^
systask.cc:127:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$strobe", STROBE,
    ^
systask.cc:128:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$strobeb", STROBEB,
    ^
systask.cc:129:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$strobeh", STROBEH,
    ^
systask.cc:130:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$strobeo", STROBEO,
    ^
systask.cc:131:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fstrobe", FSTROBE,
    ^
systask.cc:132:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fstrobeb", FSTROBEB,
    ^
systask.cc:133:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fstrobeh", FSTROBEH,
    ^
systask.cc:134:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fstrobeo", FSTROBEO,
    ^
systask.cc:135:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitoron", T_MONITORON,
    ^
systask.cc:136:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$monitoroff", T_MONITOROFF,
    ^
systask.cc:137:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$stop", STOP,
    ^
systask.cc:138:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$finish", FINISH,
    ^
systask.cc:139:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$settrace", SETTRACE,
    ^
systask.cc:140:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$cleartrace", CLEARTRACE,
    ^
systask.cc:141:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$showstats", SHOWSTATS,
    ^
systask.cc:142:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fclose", T_FCLOSE,
    ^
systask.cc:143:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$log", T_LOG,
    ^
systask.cc:144:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$nolog", T_NOLOG,
    ^
systask.cc:145:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$key", T_KEY,
    ^
systask.cc:146:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$nokey", T_NOKEY,
    ^
systask.cc:147:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$input", T_INPUT,
    ^
systask.cc:148:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$showvars", T_SHOWVARS,
    ^
systask.cc:149:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$readmemh", T_READMEMH,
    ^
systask.cc:150:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$readmemb", T_READMEMB,
    ^
systask.cc:151:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpvars", T_DUMPVARS,
    ^
systask.cc:152:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpon", T_DUMPON,
    ^
systask.cc:153:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpoff", T_DUMPOFF,
    ^
systask.cc:154:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpfile", T_DUMPFILE,
    ^
systask.cc:155:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpall", T_DUMPALL,
    ^
systask.cc:156:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$dumpflush", T_DUMPFLUSH,
    ^
systask.cc:157:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$update_timings", T_UPDATETIMINGS,
    ^
systask.cc:158:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$timeformat", T_TIMEFORMAT,
    ^
systask.cc:163:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$stime", F_STIME,
    ^
systask.cc:164:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$time", F_TIME,
    ^
systask.cc:165:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$realtime", F_REALTIME,
    ^
systask.cc:166:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$fopen", F_FOPEN,
    ^
systask.cc:167:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$random", F_RANDOM,
    ^
systask.cc:168:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "$test$plusargs", F_TEST_PLUSARGS,
    ^
systask.cc:185:10: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(t)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:185:10: note: add missing switch cases
        switch (TREE_CODE(t)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:295:13: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:295:13: note: add missing switch cases
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:316:10: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(node)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:316:10: note: add missing switch cases
        switch (TREE_CODE(node)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:414:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Not enough memory to allocate for '%s' system function",
              ^
systask.cc:423:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Unexpected arguments in system function '%s'", taskname,
              ^
systask.cc:426:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Missing arguments in call to system function '%s'",
              ^
systask.cc:436:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Unexpected arguments in system function '%s'", taskname,
              ^
systask.cc:446:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Unexpected arguments in system function '%s'", taskname,
              ^
systask.cc:472:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Illegal node type", NULL, NULL); /* do error handling later */
              ^
systask.cc:542:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_zero(num_args, "$stime"))
                                 ^
systask.cc:548:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$stime", 32, REG_VECTOR_DECL);
                                     ^
systask.cc:554:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_zero(num_args, "$time"))
                                 ^
systask.cc:560:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$time", 64, REG_VECTOR_DECL);
                                     ^
systask.cc:566:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_zero(num_args, "$realtime"))
                                 ^
systask.cc:573:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$realtime", 32, REAL_DECL);
                                     ^
systask.cc:579:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_zero_or_one(num_args, "$random"))
                                        ^
systask.cc:595:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal arguments is call to $random", NULL, NULL);
                      ^
systask.cc:602:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$random", 32, REG_VECTOR_DECL);
                                     ^
systask.cc:608:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_one(num_args, "$fopen"))
                                ^
systask.cc:620:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$fopen", 32, REG_VECTOR_DECL);
                                     ^
systask.cc:626:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_one(num_args, "$test$plusargs"))
                                ^
systask.cc:631:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Missing arguments in call to system function '$test$plusargs'");
                 ^
systask.cc:641:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                init_function_return("$test$plusargs", 1, REG_SCALAR_DECL);
                                     ^
systask.cc:495:13: warning: enumeration values 'NO_SYSFTYPE', 'SYSTEM_FUNC', and 'LAST_FUNCTION' not handled in switch [-Wswitch]
    switch (FUNC_REF_SYSTYPE(node)) {
            ^
./tree.h:490:32: note: expanded from macro 'FUNC_REF_SYSTYPE'
#define FUNC_REF_SYSTYPE(NODE) ((enum sysfunction_type)(NODE)->func.type.systype)
                               ^
systask.cc:495:13: note: add missing switch cases
    switch (FUNC_REF_SYSTYPE(node)) {
            ^
./tree.h:490:32: note: expanded from macro 'FUNC_REF_SYSTYPE'
#define FUNC_REF_SYSTYPE(NODE) ((enum sysfunction_type)(NODE)->func.type.systype)
                               ^
systask.cc:702:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if (!args_one(num_args, "$scope"))
                                ^
systask.cc:708:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            yyerror("Illegal argument type for $scope system task");
                    ^
systask.cc:728:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            yyerror("Unexpected arguments in system task $showvars");
                    ^
systask.cc:737:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Unexpected arguments in system task %s",
                  ^
systask.cc:784:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal arguments in call to %s", STMT_TASK_NAME(node),
                  ^
systask.cc:794:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Missing arguments in call to %s", STMT_TASK_NAME(node),
                  ^
systask.cc:799:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Too many arguments in call to %s", STMT_TASK_NAME(node),
                  ^
systask.cc:808:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Missing file name in call to %s", STMT_TASK_NAME(node),
                  ^
systask.cc:819:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Second argument to %s must be an array",
                  ^
systask.cc:827:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    yyerror("Array index must be within 32 bits");
                            ^
systask.cc:829:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Missing argument in call to %s",
                      ^
systask.cc:840:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Missing label in call to %s", STMT_TASK_NAME(node),
                      ^
systask.cc:845:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Missing argument in call to %s",
                      ^
systask.cc:850:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal array reference in call to %s",
                      ^
systask.cc:855:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Missing signal in call to %s",
                      ^
systask.cc:878:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal type in first argument of $dumpvars", NULL,
                  ^
systask.cc:888:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal argument in $dumpvars list", NULL, NULL);
                      ^
systask.cc:949:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("'%s' not declared", IDENT(DECL_NAME(t)), NULL_CHAR);
                      ^
systask.cc:964:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Directory of scopes at current scope level:\n");
                 ^
systask.cc:967:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("module (%s), instance (%s)\n",
                         ^
systask.cc:970:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("task (%s)\n", IDENT(BLOCK_NAME(t)));
                         ^
systask.cc:972:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("function (%s)\n", IDENT(BLOCK_NAME(t)));
                         ^
systask.cc:974:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("named block (%s)\n", IDENT(BLOCK_NAME(t)));
                         ^
systask.cc:977:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\nCurrent scope is (");
                 ^
systask.cc:979:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")\n");
                 ^
systask.cc:1033:43: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            log_file = shell_fopen(token_buffer, "w+t");
                                                 ^
systask.cc:1035:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                warning("Cannot open log file '%s'", token_buffer,
                        ^
systask.cc:1054:43: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            key_file = shell_fopen(token_buffer, "wt");
                                                 ^
systask.cc:1056:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                warning("Cannot open key file '%s'", token_buffer,
                        ^
systask.cc:1077:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            input = File::fopen(token_buffer, "r+t");
                                              ^
systask.cc:1079:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Cannot open input file '%s'", token_buffer,
                      ^
systask.cc:1249:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                warning("Too late to call $dumpvars, this call ignored",
                        ^
systask.cc:1265:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("Illegal value in first argument of $dumpvar",
                          ^
systask.cc:1290:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                dumpvars_checkpoint("$dumpvars");
                                    ^
systask.cc:1299:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Too late to change dump file name", NULL, NULL);
                  ^
systask.cc:1313:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            dumpvars_checkpoint("$dumpon");
                                ^
systask.cc:1320:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            dumpvars_x("$dumpoff");
                       ^
systask.cc:1326:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            dumpvars_checkpoint("$dumpall");
                                ^
systask.cc:1385:12: warning: enumeration values 'NO_SYSFTYPE', 'SYSTEM_FUNC', and 'LAST_FUNCTION' not handled in switch [-Wswitch]
    switch FUNC_REF_SYSTYPE
           ^
./tree.h:490:33: note: expanded from macro 'FUNC_REF_SYSTYPE'
#define FUNC_REF_SYSTYPE(NODE) ((enum sysfunction_type)(NODE)->func.type.systype)
                                ^
systask.cc:1385:12: note: add missing switch cases
    switch FUNC_REF_SYSTYPE
           ^
./tree.h:490:33: note: expanded from macro 'FUNC_REF_SYSTYPE'
#define FUNC_REF_SYSTYPE(NODE) ((enum sysfunction_type)(NODE)->func.type.systype)
                                ^
systask.cc:1591:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fprintf_V(handle, " ");
                                  ^
systask.cc:1679:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                fprintf_V(handle, "%%%%");
                                                  ^
systask.cc:1708:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                fprintf_V(handle, "%%%s", length_spec);
                                                  ^
systask.cc:1712:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            fprintf_V(handle, "%%%c", c);
                                              ^
systask.cc:1718:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        fprintf_V(handle, "%c", c);
                                          ^
systask.cc:1727:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("Illegal arguments in call to display system task",
                         ^
systask.cc:1734:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                     FLOAT_, is_integer, fill_space, "%g");
                                                                     ^
systask.cc:1750:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fprintf_V(handle, "\n");
                              ^
systask.cc:1767:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("More format specs than arguments", NULL, NULL);
                  ^
systask.cc:1779:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal argument in call to display system task",
                      ^
systask.cc:1771:10: warning: 4 enumeration values not handled in switch: 'EXP_', 'FLOAT_', 'EXPFLOAT_'... [-Wswitch]
        switch (radix) {
                ^
systask.cc:1771:10: note: add missing switch cases
        switch (radix) {
                ^
systask.cc:1791:13: warning: 5 enumeration values not handled in switch: 'BIN', 'HEX', 'OCT'... [-Wswitch]
    switch (radix) {
            ^
systask.cc:1791:13: note: add missing switch cases
    switch (radix) {
            ^
systask.cc:1826:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("%s (", IDENT(DECL_NAME(decl)));
             ^
systask.cc:1828:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(") ");
             ^
systask.cc:1835:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("reg [%lu, %lu] = ", MSB(decl), LSB(decl));
                 ^
systask.cc:1840:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("reg = ");
                 ^
systask.cc:1846:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("[%lu, %lu] = ", MSB(decl), LSB(decl));
                 ^
systask.cc:1852:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("= ");
                 ^
systask.cc:1857:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("integer = ");
                 ^
systask.cc:1862:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("parameter = ");
                 ^
systask.cc:1867:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("specparam = ");
                 ^
systask.cc:1874:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("MODULE");
                     ^
systask.cc:1877:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("NAMED BLOCK");
                     ^
systask.cc:1880:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("TASK");
                     ^
systask.cc:1883:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("FUNCTION");
                     ^
systask.cc:1872:10: warning: 140 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(DECL_THREAD(decl))) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:1872:10: note: add missing switch cases
        switch (TREE_CODE(DECL_THREAD(decl))) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
systask.cc:1886:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
systask.cc:1896:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("\t[line %lu, file '%s', time ",
                     ^
systask.cc:1899:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("]\n");
                     ^
systask.cc:1903:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
systask.cc:1929:51: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    readmem_file = File::fopen(readmem_file_name, "rt");
                                                  ^
systask.cc:1931:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Cannot open readmem file '%s'", token_buffer, NULL_CHAR);
              ^
systask.cc:1949:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal index value in call to %s",
                  ^
systask.cc:1963:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal index value in call to %s",
                  ^
systask.cc:1995:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            readmem_file->fscanf("%lx", &addr);
                                 ^
systask.cc:2000:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            ("Address outside specified range; aborting readmem");
                             ^
systask.cc:2004:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            ("Address outside specified range; aborting readmem");
                             ^
systask.cc:2014:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                yyerror("Line too long during $readmemh; aborting");
                        ^
systask.cc:2019:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                yyerror("Line too long during $readmemb; aborting");
                        ^
systask.cc:2037:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Number of words read is not the number of words expected",
                 ^
systask.cc:2061:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Stop at simulation time ");
             ^
systask.cc:2063:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\n");
             ^
systask.cc:2078:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Exiting %s at time ", VERIWELL);
             ^
systask.cc:2080:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\n");
             ^
174 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT systask.lo -MD -MP -MF .deps/systask.Tpo -c systask.cc -o systask.o >/dev/null 2>&1
mv -f .deps/systask.Tpo .deps/systask.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT copy.lo -MD -MP -MF .deps/copy.Tpo -c -o copy.lo copy.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT copy.lo -MD -MP -MF .deps/copy.Tpo -c copy.cc  -fno-common -DPIC -o .libs/copy.o
In file included from copy.cc:24:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
copy.cc:268:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("Attempting to copy a decl reference that does not exist: %s",
             ^
copy.cc:437:10: warning: 137 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(new_node)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
copy.cc:437:10: note: add missing switch cases
        switch (TREE_CODE(new_node)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
copy.cc:536:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("coping non-statement in statement chain");
                         ^
copy.cc:675:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fatal("Fatal error: Attempt to copy unknown statement",
                      ^
copy.cc:687:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Fatal: Unexpected structure encountered"
              ^
7 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT copy.lo -MD -MP -MF .deps/copy.Tpo -c copy.cc -o copy.o >/dev/null 2>&1
mv -f .deps/copy.Tpo .deps/copy.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT veriwell.lo -MD -MP -MF .deps/veriwell.Tpo -c -o veriwell.lo veriwell.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT veriwell.lo -MD -MP -MF .deps/veriwell.Tpo -c veriwell.cc  -fno-common -DPIC -o .libs/veriwell.o
In file included from veriwell.cc:33:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from veriwell.cc:41:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
veriwell.cc:62:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
char *versionString = VERSION;
                      ^
../config.h:138:17: note: expanded from macro 'VERSION'
#define VERSION "2.8.7"
                ^
veriwell.cc:120:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\n\n%s version %s, \n", VERIWELL, VERSION );
             ^
veriwell.cc:140:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        dumpfile = shell_fopen("dump.out", "wb");
                               ^
veriwell.cc:140:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        dumpfile = shell_fopen("dump.out", "wb");
                                           ^
veriwell.cc:190:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("C%d: ", cmd_level);
                       ^
veriwell.cc:193:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("%s: L%ld: ", file, line);
                       ^
veriwell.cc:195:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("VeriWell: ");
                       ^
veriwell.cc:198:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("error: ");
                   ^
veriwell.cc:200:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("\n");
                   ^
veriwell.cc:225:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("%s: L%ld: ", file, line);
                       ^
veriwell.cc:227:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("VeriWell: ");
                       ^
veriwell.cc:229:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("warning: ");
                   ^
veriwell.cc:231:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("\n");
                   ^
veriwell.cc:256:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("%s: L%ld: ", file, line);
                       ^
veriwell.cc:258:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("VeriWell: ");
                       ^
veriwell.cc:260:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("sorry: ");
                   ^
veriwell.cc:262:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_error_V("\n");
                   ^
veriwell.cc:304:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Memory exhausted.", NULL);
              ^
veriwell.cc:315:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Memory exhausted.", NULL);
              ^
veriwell.cc:339:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    FILE *tmp = shell_fopen(filename, "r");
                                      ^
veriwell.cc:361:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("Specifying -f without a filename is illegal\n");
                       ^
veriwell.cc:366:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("Specifying -i without a filename is illegal\n");
                       ^
veriwell.cc:371:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("Specifying -l without a filename is illegal\n");
                       ^
veriwell.cc:376:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("Specifying -k without a filename is illegal\n");
                       ^
veriwell.cc:391:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Proceeding without a log file", NULL_CHAR, NULL_CHAR);
                ^
veriwell.cc:393:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        log_file = shell_fopen(log_file_name, "w+t");
                                              ^
veriwell.cc:397:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Cannot open log file '%s', proceeding without it",
                    ^
veriwell.cc:415:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Proceeding without a key file", NULL_CHAR, NULL_CHAR);
                ^
veriwell.cc:417:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        key_file = shell_fopen(key_file_name, "w+t");
                                              ^
veriwell.cc:421:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Cannot open key file '%s', proceeding without it",
                    ^
veriwell.cc:436:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Processing command file : %s\n", fp->name);
                 ^
veriwell.cc:440:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if ((fin = File::fopen(fp->name, "r")) == NULL) {
                                         ^
veriwell.cc:441:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_error_V("%s: can't open %s\n", progname, fp->name);
                           ^
veriwell.cc:446:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fin->fscanf("%s", input);
                        ^
veriwell.cc:451:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Specifying -f without a filename is illegal\n");
                 ^
veriwell.cc:457:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Specifying -i without a filename is illegal\n");
                 ^
veriwell.cc:476:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Entering Phase I...\n");
                 ^
veriwell.cc:480:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("Compiling source file : %s\n", fp->name);
                     ^
veriwell.cc:486:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\nEntering Phase II...\n");
                 ^
veriwell.cc:490:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Entering Phase III...\n");
                 ^
veriwell.cc:500:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("1 warning in compilation\n");
                     ^
veriwell.cc:502:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%d warnings in compilation\n", warningcount);
                     ^
veriwell.cc:507:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("1 error in compilation\n");
                     ^
veriwell.cc:509:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("%d errors in compilation\n", errorcount);
                     ^
veriwell.cc:513:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("No errors in compilation\n");
             ^
veriwell.cc:547:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fatal("Could not open (-f) input file '%s'\n", input);
                  ^
veriwell.cc:561:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        if ((i_file = File::fopen(input, "r")) == 0) {
                                         ^
veriwell.cc:562:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fatal("Could not open (-i) input file '%s'\n", input);
                  ^
veriwell.cc:595:17: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
        printf( copyright );
                ^~~~~~~~~
veriwell.cc:595:17: note: treat the string as an argument to avoid this
        printf( copyright );
                ^
                "%s", 
veriwell.cc:763:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    if ((fin = File::fopen(infile, "r")) == NULL) {
                                   ^
veriwell.cc:764:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("%s: cannot open %s\n", progname, infile);
                       ^
veriwell.cc:918:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("%d Error", errorcount);
             ^
veriwell.cc:920:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%c", (int) 's');
                 ^
veriwell.cc:922:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(", %d Warning", warningcount);
             ^
veriwell.cc:924:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%c", (int) 's');
                 ^
veriwell.cc:926:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(", Compile time = %.1f, Load time = %.1f,"
             ^
veriwell.cc:935:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\nNormal exit\n");
             ^
veriwell.cc:936:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Thank you for using %s\n", VERIWELL);
             ^
61 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT veriwell.lo -MD -MP -MF .deps/veriwell.Tpo -c veriwell.cc -o veriwell.o >/dev/null 2>&1
mv -f .deps/veriwell.Tpo .deps/veriwell.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT pass2.lo -MD -MP -MF .deps/pass2.Tpo -c -o pass2.lo pass2.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pass2.lo -MD -MP -MF .deps/pass2.Tpo -c pass2.cc  -fno-common -DPIC -o .libs/pass2.o
In file included from pass2.cc:24:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from pass2.cc:30:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
pass2.cc:147:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        sorry1("Maximum vector size is %d", (char *) MAX_BITS);
               ^
pass2.cc:243:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fatal("Internal error: unknown array type", NULL);
                  ^
pass2.cc:250:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Maximum array size exceeded in array '%s'",
                      ^
pass2.cc:268:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fatal("Scalar converted to vector", NULL);
                      ^
pass2.cc:337:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            sorry1("Maximum vector size is %d", (char *) MAX_BITS);
                   ^
pass2.cc:351:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            sorry1("Maximum vector size is %d", (char *) MAX_BITS);
                   ^
pass2.cc:362:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    ("Not enough memory to evaluate parameter expression of '%s'",
                     ^
pass2.cc:370:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Don't know how to allocate for %s (%s)",
              ^
pass2.cc:319:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case PARAM_DECL:
         ^~~~~~~~~~
pass2.cc:318:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case SPECPARAM_DECL:
         ^~~~~~~~~~~~~~
pass2.cc:314:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case BLOCK_DECL:
         ^~~~~~~~~~
pass2.cc:313:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case EVENT_DECL:
         ^~~~~~~~~~
pass2.cc:307:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case TMP_DECL:
         ^~~~~~~~
pass2.cc:302:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case REG_VECTOR_DECL:
         ^~~~~~~~~~~~~~~
pass2.cc:295:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case TIME_DECL:
         ^~~~~~~~~
pass2.cc:262:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case NET_VECTOR_DECL:
         ^~~~~~~~~~~~~~~
pass2.cc:216:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case ARRAY_DECL:
         ^~~~~~~~~~
pass2.cc:207:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case REAL_DECL:
         ^~~~~~~~~
pass2.cc:199:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case INTEGER_DECL:
         ^~~~~~~~~~~~
pass2.cc:194:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case REG_SCALAR_DECL:
         ^~~~~~~~~~~~~~~
pass2.cc:168:10: warning: comparison of different enumeration types in switch statement ('enum tree_type' and 'tree_code') [-Wenum-compare-switch]
    case NET_SCALAR_DECL:
         ^~~~~~~~~~~~~~~
pass2.cc:199:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case INTEGER_DECL:
         ^
pass2.cc:207:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case REAL_DECL:
         ^
pass2.cc:194:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case REG_SCALAR_DECL:
         ^
pass2.cc:295:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case TIME_DECL:
         ^
pass2.cc:302:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case REG_VECTOR_DECL:
         ^
pass2.cc:307:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case TMP_DECL:
         ^
pass2.cc:168:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case NET_SCALAR_DECL:
         ^
pass2.cc:262:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case NET_VECTOR_DECL:
         ^
pass2.cc:216:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case ARRAY_DECL:
         ^
pass2.cc:319:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case PARAM_DECL:
         ^
pass2.cc:318:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case SPECPARAM_DECL:
         ^
pass2.cc:313:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case EVENT_DECL:
         ^
pass2.cc:314:10: warning: case value not in enumerated type 'enum tree_type' [-Wswitch]
    case BLOCK_DECL:
         ^
pass2.cc:378:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fatal("Not enough memory to allocate storage for '%s'",
                  ^
pass2.cc:414:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                f = File::fopen(path, "rt");    /* try it */
                                      ^
pass2.cc:591:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal output port specification: '%s'",
                  ^
pass2.cc:647:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        error("More than one connection to a port",
                              ^
pass2.cc:655:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("No port named '%s'", IDENT(TREE_VALUE(arg)),
                      ^
pass2.cc:673:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Too many instance ports", NULL, NULL);
                ^
pass2.cc:675:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Too few instance ports", NULL, NULL);
                ^
pass2.cc:698:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Parameter '%s' not declared", IDENT(lval), NULL_CHAR);
                  ^
pass2.cc:738:6: warning: cast to 'char *' from smaller integer type 'lineno_t' (aka 'unsigned int') [-Wint-to-pointer-cast]
                          (char *) lineno);
                          ^~~~~~~~~~~~~~~
pass2.cc:737:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    fatal("Line %lu  Driver names to not agree\n",
                          ^
pass2.cc:805:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Module '%s' not defined",
                  ^
pass2.cc:834:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("No module name given in instantiation", NULL_CHAR,
                  ^
pass2.cc:875:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Too many module instance parameter assignments",
                      ^
pass2.cc:940:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("Top-level modules:\n");
             ^
pass2.cc:942:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("   %s\n", IDENT(BLOCK_NAME(t)));
                 ^
pass2.cc:943:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\n");
             ^
53 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pass2.lo -MD -MP -MF .deps/pass2.Tpo -c pass2.cc -o pass2.o >/dev/null 2>&1
mv -f .deps/pass2.Tpo .deps/pass2.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT sdf.lo -MD -MP -MF .deps/sdf.Tpo -c -o sdf.lo sdf.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdf.lo -MD -MP -MF .deps/sdf.Tpo -c sdf.cc  -fno-common -DPIC -o .libs/sdf.o
In file included from sdf.cc:42:
./sdf.h:151:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "MINIMUM",
    ^
./sdf.h:152:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "TYPICAL",
    ^
./sdf.h:153:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "MAXIMUM",
    ^
./sdf.h:154:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "TOOL_CONTROL",
    ^
./sdf.h:155:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "AVERAGE",
    ^
./sdf.h:156:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "FROM_FILE"
    ^
./sdf.h:160:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "FROM_MINIMUM",
    ^
./sdf.h:161:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "FROM_TYPICAL",
    ^
./sdf.h:162:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "FROM_MAXIMUM",
    ^
./sdf.h:163:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "FROM_MTM"
    ^
./sdf.h:167:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "IOPATH",
    ^
./sdf.h:168:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "PORT",
    ^
./sdf.h:169:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "INTERCONNECT",
    ^
./sdf.h:170:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "NETDELAY",
    ^
./sdf.h:171:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "DEVICE",
    ^
./sdf.h:172:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SETUP",
    ^
./sdf.h:173:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "HOLD",
    ^
./sdf.h:174:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SETUPHOLD",
    ^
./sdf.h:175:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "RECOVERY",
    ^
./sdf.h:176:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SKEW",
    ^
./sdf.h:177:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "WIDTH",
    ^
./sdf.h:178:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "PERIOD",
    ^
./sdf.h:179:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "NOCHANGE",
    ^
./sdf.h:180:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "PATHPULSE"
    ^
./sdf.h:184:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "IGNORE",
    ^
./sdf.h:185:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "INTERMODPATH",
    ^
./sdf.h:186:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "MIPD",
    ^
./sdf.h:187:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "CELL",
    ^
./sdf.h:188:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SETUP",
    ^
./sdf.h:189:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "HOLD",
    ^
./sdf.h:190:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SETUPHOLD",
    ^
./sdf.h:191:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "RECOVERY",
    ^
./sdf.h:192:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "SKEW",
    ^
./sdf.h:193:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "WIDTH",
    ^
./sdf.h:194:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "PERIOD",
    ^
./sdf.h:195:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "NOCHANGE",
    ^
./sdf.h:196:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "PATHPULSE",
    ^
./sdf.h:197:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "USE"
    ^
In file included from sdf.cc:44:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from sdf.cc:45:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
sdf.cc:159:22: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
        fprintf(sdfLogFile, port.name);
                            ^~~~~~~~~
sdf.cc:159:22: note: treat the string as an argument to avoid this
        fprintf(sdfLogFile, port.name);
                            ^
                            "%s", 
sdf.cc:329:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fmt = "%s[%d]";
                  ^
sdf.cc:331:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fmt = "%s[%d:%d]";
                  ^
sdf.cc:334:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fmt = "%s";
              ^
sdf.cc:340:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fmt = "%s[%d]";
                  ^
sdf.cc:342:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fmt = "%s[%d:%d]";
                  ^
sdf.cc:345:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fmt = "%s";
              ^
sdf.cc:499:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("Current delays", 6, d[0], d[1], d[2], d[3], d[4],
                    ^
sdf.cc:505:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("New delays", 6, d[0], d[1], d[2], d[3], d[4], d[5]);
                    ^
sdf.cc:525:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("Current delays", 3, d[0], d[1], d[2], d[3], d[4],
                    ^
sdf.cc:531:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("New delays", 3, d[0], d[1], d[2], d[3], d[4], d[5]);
                    ^
sdf.cc:619:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("Current delays", 1, d[0], d[1], d[2], d[3], d[4],
                    ^
sdf.cc:625:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printDelayGroup("New delays", 1, d[0], d[1], d[2], d[3], d[4], d[5]);
                    ^
sdf.cc:779:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        ptr = "min";
              ^
sdf.cc:782:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        ptr = "max";
              ^
sdf.cc:785:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        ptr = "average";
              ^
sdf.cc:788:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        ptr = "from_user";
              ^
sdf.cc:852:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Not enough arguments");
                 ^
sdf.cc:856:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Too many arguments");
                 ^
sdf.cc:863:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("argument 1 must be a string");
                 ^
sdf.cc:875:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("argument %d must be a string", argNumber);
                     ^
sdf.cc:989:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("argument %d is not recognized", argNumber);
                         ^
sdf.cc:999:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("argument %d is an illegal scale factor",
                         ^
sdf.cc:1015:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("argument %d is an illegal scale factor",
                         ^
sdf.cc:1047:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("argument %d is not recognized", argNumber);
                         ^
sdf.cc:1059:43: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    sdfLogFile = shell_fopen(logFilename, "w");
                                          ^
sdf.cc:1061:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Could not open logfile '%s'\n", logFilename);
                 ^
68 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdf.lo -MD -MP -MF .deps/sdf.Tpo -c sdf.cc -o sdf.o >/dev/null 2>&1
mv -f .deps/sdf.Tpo .deps/sdf.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT sdfclex.lo -MD -MP -MF .deps/sdfclex.Tpo -c -o sdfclex.lo sdfclex.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfclex.lo -MD -MP -MF .deps/sdfclex.Tpo -c sdfclex.cc  -fno-common -DPIC -o .libs/sdfclex.o
In file included from sdfclex.cc:30:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from sdfclex.cc:31:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
sdfclex.cc:45:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "iopath", IOPATH,
    ^
sdfclex.cc:46:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "port", PORT,
    ^
sdfclex.cc:47:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "interconnect", INTERCONNECT,
    ^
sdfclex.cc:48:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "netdelay", NETDELAY,
    ^
sdfclex.cc:49:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "device", DEVICE,
    ^
sdfclex.cc:50:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "setup", SETUP,
    ^
sdfclex.cc:51:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "hold", HOLD,
    ^
sdfclex.cc:52:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "setuphold", SETUPHOLD,
    ^
sdfclex.cc:53:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "recovery", RECOVERY,
    ^
sdfclex.cc:54:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "skew", SKEW,
    ^
sdfclex.cc:55:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "width", WIDTH,
    ^
sdfclex.cc:56:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "period", PERIOD,
    ^
sdfclex.cc:57:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "nochange", NOCHANGE,
    ^
sdfclex.cc:58:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "pathpulse", PATHPULSE,
    ^
sdfclex.cc:59:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "ignore", IGNORE,
    ^
sdfclex.cc:60:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "intermod_path", INTERMOD_PATH,
    ^
sdfclex.cc:61:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "mipd", MIPD,
    ^
sdfclex.cc:62:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "cell", CELL,
    ^
sdfclex.cc:63:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "use", USE,
    ^
sdfclex.cc:64:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "interconnect_mipd", INTERCONNECT_MIPD,
    ^
sdfclex.cc:65:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "minimum", MINIMUM,
    ^
sdfclex.cc:66:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "maximum", MAXIMUM,
    ^
sdfclex.cc:67:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "average", AVERAGE,
    ^
sdfclex.cc:68:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "mtm", MTM,
    ^
sdfclex.cc:69:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "tool_control", TOOL_CONTROL,
    ^
sdfclex.cc:70:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "typical", TYPICAL,
    ^
sdfclex.cc:71:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "scale_factors", SCALE_FACTORS,
    ^
sdfclex.cc:72:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "from_minimum", FROM_MINIMUM,
    ^
sdfclex.cc:73:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "from_typical", FROM_TYPICAL,
    ^
sdfclex.cc:74:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "from_maximum", FROM_MAXIMUM,
    ^
sdfclex.cc:75:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "from_mtm", FROM_MTM,
    ^
sdfclex.cc:76:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "turnoff_delay", TURNOFF_DELAY,
    ^
sdfclex.cc:77:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "turnon_delay", TURNON_DELAY,
    ^
sdfclex.cc:78:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "module", MODULE,
    ^
sdfclex.cc:79:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "map_inner", MAP_INNER,
    ^
sdfclex.cc:80:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "add", ADD,
    ^
sdfclex.cc:81:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "override", OVERRIDE,
    ^
sdfclex.cc:82:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "ignore", IGNORE,
    ^
sdfclex.cc:83:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "from_file", FROM_FILE,
    ^
sdfclex.cc:84:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "scale_type", SCALE_TYPE,
    ^
sdfclex.cc:215:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    newFile = shell_fopen(filename, "r");
                                    ^
sdfclex.cc:217:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("could not open file '%s'", filename);
                 ^
sdfclex.cc:235:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("SDF ERROR: %s L%ld, %s\n", filename, lineNumber, message);
              ^
sdfclex.cc:290:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    sdfconfig_error("Illegal scalar constant");
                                    ^
sdfclex.cc:448:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                sdfconfig_error("unterminated string constant");
                                ^
sdfclex.cc:455:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, c, "&&", ANDAND);
                               ^
sdfclex.cc:457:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, LT, "<=", LE), "<<", LEFT_SHIFT);
                                         ^
sdfclex.cc:457:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, LT, "<=", LE), "<<", LEFT_SHIFT);
                                                    ^
sdfclex.cc:459:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, GT, ">=", GE), ">>", RIGHT_SHIFT);
                                         ^
sdfclex.cc:459:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, GT, ">=", GE), ">>", RIGHT_SHIFT);
                                                    ^
sdfclex.cc:462:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                           "~&", REDUCTION_NAND),
                                           ^
sdfclex.cc:463:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                  "~|", REDUCTION_NOR), "~^", XNOR);
                                  ^
sdfclex.cc:463:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                  "~|", REDUCTION_NOR), "~^", XNOR);
                                                        ^
sdfclex.cc:465:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, c, "^~", XNOR);
                               ^
sdfclex.cc:474:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                return match(c, LOGICAL_EQUALITY, "==", CASE_EQUALITY);
                                                  ^
sdfclex.cc:484:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                return match(c, LOGICAL_INEQUALITY, "==", CASE_INEQUALITY);
                                                    ^
sdfclex.cc:494:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            sdfconfig_error("illegal character");
                            ^
60 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfclex.lo -MD -MP -MF .deps/sdfclex.Tpo -c sdfclex.cc -o sdfclex.o >/dev/null 2>&1
mv -f .deps/sdfclex.Tpo .deps/sdfclex.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT decl.lo -MD -MP -MF .deps/decl.Tpo -c -o decl.lo decl.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT decl.lo -MD -MP -MF .deps/decl.Tpo -c decl.cc  -fno-common -DPIC -o .libs/decl.o
In file included from decl.cc:23:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from decl.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
decl.cc:50:13: warning: 137 enumeration values not handled in switch: 'ERROR_MARK', 'TREE_LIST', 'PATH_OUTPUT'... [-Wswitch]
    switch (TREE_CODE(TREE_PURPOSE(here))) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
decl.cc:50:13: note: add missing switch cases
    switch (TREE_CODE(TREE_PURPOSE(here))) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
decl.cc:274:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Redeclared port '%s' has already been referenced",
                      ^
decl.cc:363:13: warning: 141 enumeration values not handled in switch: 'ERROR_MARK', 'TREE_LIST', 'PATH_OUTPUT'... [-Wswitch]
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
decl.cc:363:13: note: add missing switch cases
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
decl.cc:376:13: warning: 141 enumeration values not handled in switch: 'ERROR_MARK', 'TREE_LIST', 'PATH_OUTPUT'... [-Wswitch]
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
decl.cc:376:13: note: add missing switch cases
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
7 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT decl.lo -MD -MP -MF .deps/decl.Tpo -c decl.cc -o decl.o >/dev/null 2>&1
mv -f .deps/decl.Tpo .deps/decl.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT io.lo -MD -MP -MF .deps/io.Tpo -c -o io.lo io.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT io.lo -MD -MP -MF .deps/io.Tpo -c io.cc  -fno-common -DPIC -o .libs/io.o
In file included from io.cc:36:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from io.cc:39:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
io.cc:75:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Cannot close standard output", NULL_CHAR, NULL_CHAR);
                ^
io.cc:99:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Exeeded limit on number of open files", NULL_CHAR,
                ^
io.cc:103:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    f_handle = shell_fopen(file, "w+t");
                                 ^
io.cc:105:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Cannot open file '%s'", file, NULL_CHAR);
                ^
io.cc:127:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    warning("File not open", NULL_CHAR, NULL_CHAR);
                            ^
io.cc:153:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    warning("File not open", NULL_CHAR, NULL_CHAR);
                            ^
io.cc:152:3: warning: add explicit braces to avoid dangling else [-Wdangling-else]
                else
                ^
io.cc:175:9: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
        printf(print_buffer);
               ^~~~~~~~~~~~
io.cc:175:9: note: treat the string as an argument to avoid this
        printf(print_buffer);
               ^
               "%s", 
io.cc:177:24: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
            fprintf(log_file, print_buffer);
                              ^~~~~~~~~~~~
io.cc:177:24: note: treat the string as an argument to avoid this
            fprintf(log_file, print_buffer);
                              ^
                              "%s", 
io.cc:185:32: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
                    fprintf(file_handles[i], print_buffer);
                                             ^~~~~~~~~~~~
io.cc:185:32: note: treat the string as an argument to avoid this
                    fprintf(file_handles[i], print_buffer);
                                             ^
                                             "%s", 
io.cc:187:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    warning("File not open", NULL_CHAR, NULL_CHAR);
                            ^
io.cc:186:3: warning: add explicit braces to avoid dangling else [-Wdangling-else]
                else
                ^
io.cc:211:12: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
    printf(print_buffer);
           ^~~~~~~~~~~~
io.cc:211:12: note: treat the string as an argument to avoid this
    printf(print_buffer);
           ^
           "%s", 
io.cc:214:20: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
        fprintf(log_file, print_buffer);
                          ^~~~~~~~~~~~
io.cc:214:20: note: treat the string as an argument to avoid this
        fprintf(log_file, print_buffer);
                          ^
                          "%s", 
io.cc:232:20: warning: format string is not a string literal (potentially insecure) [-Wformat-security]
        fprintf(log_file, print_buffer);
                          ^~~~~~~~~~~~
io.cc:232:20: note: treat the string as an argument to avoid this
        fprintf(log_file, print_buffer);
                          ^
                          "%s", 
io.cc:291:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    ypathList->path = ".";
                      ^
19 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT io.lo -MD -MP -MF .deps/io.Tpo -c io.cc -o io.o >/dev/null 2>&1
mv -f .deps/io.Tpo .deps/io.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT pass3.lo -MD -MP -MF .deps/pass3.Tpo -c -o pass3.lo pass3.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pass3.lo -MD -MP -MF .deps/pass3.Tpo -c pass3.cc  -fno-common -DPIC -o .libs/pass3.o
In file included from pass3.cc:26:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from pass3.cc:28:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
pass3.cc:178:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Out of memory", NULL);
              ^
pass3.cc:394:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Range expression must be within 32 bits", NULL_CHAR,
              ^
pass3.cc:397:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Not enough memory to evaluate range expression of %s",
              ^
pass3.cc:414:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Not enough memory to evaluate constant expression",
              ^
pass3.cc:434:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Not enough memory to evaluate constant expression",
              ^
pass3.cc:474:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Bit-select index expression must be within 32 bits",
                  ^
pass3.cc:500:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Array index expression must be within 32 bits",
                  ^
pass3.cc:515:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Repeat count expression is larger than 32 bits; will be truncated",
                 ^
pass3.cc:598:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Part-select for '%s' encompases too many bits",
                  ^
pass3.cc:605:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("MSB and LSB of '%s' are reversed",
                      ^
pass3.cc:609:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    ("Part-select is outside limits set by declaration of '%s'",
                     ^
pass3.cc:613:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("MSB and LSB of '%s' are reversed",
                      ^
pass3.cc:617:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    ("Part-select is outside limits set by declaration of '%s'",
                     ^
pass3.cc:636:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Function '%s' not defined", FUNC_REF_NAME(node),
                  ^
pass3.cc:661:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("'%s' is a system task; it cannot be called as a function",
                         ^
pass3.cc:664:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("Unknown system function '%s'",
                          ^
pass3.cc:468:13: warning: 137 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (code) {
            ^
pass3.cc:468:13: note: add missing switch cases
    switch (code) {
            ^
pass3.cc:658:22: warning: result of comparison of constant -1 with expression of type 'enum sysfunction_type' is always false [-Wtautological-constant-out-of-range-compare]
            if (sysfunction == -1) {
                ~~~~~~~~~~~ ^  ~~
pass3.cc:659:50: warning: result of comparison of constant -1 with expression of type 'enum systask_type' is always true [-Wtautological-constant-out-of-range-compare]
                if (lookup_systask(FUNC_REF_NAME(node), &p_tf) != -1)
                    ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ^  ~~
pass3.cc:778:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            fatal("Internal: Attempt to reparse identifier", NULL_CHAR);
                  ^
pass3.cc:942:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Reduction not allowed on real numbers", NULL, NULL);
                      ^
pass3.cc:951:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Shift count must be within 32 bits", NULL_CHAR,
                      ^
pass3.cc:956:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Shift not allowed on real numbers", NULL, NULL);
                      ^
pass3.cc:1342:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("More TASK/FUNCTION arguments than in TASK/FUNCTION '%s'",
                 ^
pass3.cc:1361:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal expression connected to output port",
                      ^
pass3.cc:1369:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Fewer TASK/FUNCTION arguments than in TASK/FUNCTION '%s'",
              ^
pass3.cc:1516:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fatal("oops", NULL);
                      ^
pass3.cc:1518:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fatal("oops!", NULL);
                      ^
pass3.cc:1684:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("REPEAT expression must be within 32 bits",
                          ^
pass3.cc:1796:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("Undefined scope '%s' cannot be disabled",
                          ^
pass3.cc:1819:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("Task '%s' not defined", STMT_TASK_NAME(node),
                          ^
pass3.cc:1842:6: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                ("'%s' is a function; it cannot be called as a task",
                                 ^
pass3.cc:1845:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            error("Unknown system task '%s'",
                                  ^
pass3.cc:1872:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    ("Internal error: Attempt to reparse unknown structure",
                     ^
pass3.cc:1893:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("Fatal error: unexpected structure encountered during Phase III",
             ^
pass3.cc:1909:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("Fatal: ...Unexpected structure encountered during Phase III",
             ^
pass3.cc:1838:19: warning: result of comparison of constant -1 with expression of type 'enum systask_type' is always false [-Wtautological-constant-out-of-range-compare]
                    if (systask == -1) {
                        ~~~~~~~ ^  ~~
pass3.cc:1840:8: warning: result of comparison of constant -1 with expression of type 'enum sysfunction_type' is always true [-Wtautological-constant-out-of-range-compare]
                            != -1)
                            ^  ~~
pass3.cc:1940:5: warning: cast to 'char *' from smaller integer type 'int' [-Wint-to-pointer-cast]
                                (char *) port_count, NULL_CHAR);
                                ^~~~~~~~~~~~~~~~~~~
pass3.cc:1939:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        warning("Port sizes don't match in port #%d",
                                ^
43 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pass3.lo -MD -MP -MF .deps/pass3.Tpo -c pass3.cc -o pass3.o >/dev/null 2>&1
mv -f .deps/pass3.Tpo .deps/pass3.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT trace.lo -MD -MP -MF .deps/trace.Tpo -c -o trace.lo trace.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT trace.lo -MD -MP -MF .deps/trace.Tpo -c trace.cc  -fno-common -DPIC -o .libs/trace.o
In file included from trace.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
trace.cc:38:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(", ");
             ^
trace.cc:51:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s", IDENTIFIER_POINTER(DECL_NAME(PART_DECL(lval))));
                 ^
trace.cc:52:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("[");
                 ^
trace.cc:62:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(": ");
                 ^
trace.cc:67:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("]");
                 ^
trace.cc:80:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(" = ");
             ^
trace.cc:83:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%lg", REAL_(val));
                 ^
trace.cc:86:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(";\n");
             ^
trace.cc:93:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WIRE ");
                 ^
trace.cc:96:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("TRI ");
                 ^
trace.cc:99:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WAND ");
                 ^
trace.cc:102:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("TRIAND ");
                 ^
trace.cc:105:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WOR ");
                 ^
trace.cc:108:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("TRIOR ");
                 ^
trace.cc:111:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("TRI0 ");
                 ^
trace.cc:114:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("TRI1 ");
                 ^
trace.cc:117:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("ASSIGN ");
                 ^
trace.cc:120:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(NOT KNOWN) ");
                 ^
trace.cc:138:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("L%d ", FUNC_REF_LINE(node));
                 ^
trace.cc:139:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\"%s\" ", FUNC_REF_FILE(node));
                 ^
trace.cc:141:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("L%d ", STMT_SOURCE_LINE(node));
                 ^
trace.cc:142:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\"%s\" ", STMT_SOURCE_FILE(node));
                 ^
trace.cc:147:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
trace.cc:149:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
trace.cc:152:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
trace.cc:154:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
trace.cc:156:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(");
                 ^
trace.cc:168:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
trace.cc:181:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V(": ");
             ^
trace.cc:204:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
trace.cc:209:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >> ");
                 ^
trace.cc:211:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("FALSE\n");
                     ^
trace.cc:213:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("TRUE\n");
                     ^
trace.cc:218:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> ");
                 ^
trace.cc:220:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("skipping\n");
                     ^
trace.cc:222:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("continue\n");
                     ^
trace.cc:228:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> ");
                 ^
trace.cc:233:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
trace.cc:240:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> case (");
                 ^
trace.cc:243:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")\n");
                 ^
trace.cc:252:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" >>> ");
                     ^
trace.cc:260:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("\n");
                     ^
trace.cc:266:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> ");
                 ^
trace.cc:281:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("\n");
                         ^
trace.cc:284:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" #(");
                         ^
trace.cc:287:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(") ");
                         ^
trace.cc:289:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" @() ");
                         ^
trace.cc:309:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" >>> ");
                         ^
trace.cc:318:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V("\n");
                         ^
trace.cc:320:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" >>> ");
                     ^
trace.cc:325:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" #(");
                         ^
trace.cc:329:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(") ");
                         ^
trace.cc:338:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" @() ");
                         ^
trace.cc:357:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> NET = ");
                 ^
trace.cc:361:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
trace.cc:365:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("GATE >>> 1'b");
                 ^
trace.cc:368:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("1");
                     ^
trace.cc:371:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("0");
                     ^
trace.cc:374:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("x");
                     ^
trace.cc:377:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("z");
                     ^
trace.cc:381:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
trace.cc:399:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> ");
                 ^
trace.cc:406:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(") >>> ");
                 ^
trace.cc:414:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("TRUE\n");
                     ^
trace.cc:416:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("FALSE\n");
                     ^
trace.cc:423:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(" >>> #");
                         ^
trace.cc:428:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" >>> CONTINUE");
                     ^
trace.cc:429:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
trace.cc:434:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" >>> ");
                 ^
trace.cc:436:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("CONTINUE\n");
                     ^
trace.cc:438:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("WAIT\n");
                     ^
trace.cc:444:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(" >>> CONTINUE\n");
                     ^
trace.cc:446:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("\n");
                     ^
trace.cc:452:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("%s; >>> RETURNING\n", IDENT(BLOCK_NAME(node)));
                 ^
trace.cc:456:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Statment not known to trace: %s\n",
                 ^
77 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT trace.lo -MD -MP -MF .deps/trace.Tpo -c trace.cc -o trace.o >/dev/null 2>&1
mv -f .deps/trace.Tpo .deps/trace.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT dumpvar.lo -MD -MP -MF .deps/dumpvar.Tpo -c -o dumpvar.lo dumpvar.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT dumpvar.lo -MD -MP -MF .deps/dumpvar.Tpo -c dumpvar.cc  -fno-common -DPIC -o .libs/dumpvar.o
In file included from dumpvar.cc:24:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from dumpvar.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
dumpvar.cc:180:13: warning: 140 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (code) {
            ^
dumpvar.cc:180:13: note: add missing switch cases
    switch (code) {
            ^
dumpvar.cc:224:23: warning: format specifies type 'long' but the argument has type 'nbits_t' (aka 'int') [-Wformat]
            decl_type(decl), TREE_NBITS(decl), dumpvars_xlate(index),
                             ^~~~~~~~~~~~~~~~
./tree.h:251:26: note: expanded from macro 'TREE_NBITS'
#define TREE_NBITS(NODE) ((NODE)->common.nbits)
                         ^~~~~~~~~~~~~~~~~~~~~~
dumpvar.cc:350:47: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    dumpfile = shell_fopen(dumpvar.file_name, "w");
                                              ^
dumpvar.cc:353:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Cannot open dump file '%s'; skipping $dumpvars",
                ^
dumpvar.cc:475:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    dumpvar.file_name = "veriwell.dmp";
                        ^
8 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT dumpvar.lo -MD -MP -MF .deps/dumpvar.Tpo -c dumpvar.cc -o dumpvar.o >/dev/null 2>&1
mv -f .deps/dumpvar.Tpo .deps/dumpvar.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT lex.lo -MD -MP -MF .deps/lex.Tpo -c -o lex.lo lex.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT lex.lo -MD -MP -MF .deps/lex.Tpo -c lex.cc  -fno-common -DPIC -o .libs/lex.o
In file included from lex.cc:31:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from lex.cc:35:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from lex.cc:75:
v.gpe:89:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
         ^
v.gpe:89:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
               ^
v.gpe:89:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                     ^
v.gpe:89:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                           ^
v.gpe:89:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                 ^
v.gpe:89:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                       ^
v.gpe:89:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                             ^
v.gpe:68:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"end", END, NO_TYPE},
         ^
v.gpe:69:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
         ^
v.gpe:69:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
               ^
v.gpe:100:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rtran", TRAN, GATE_RTRAN_TYPE},
         ^
v.gpe:56:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endcase", ENDCASE, NO_TYPE},
         ^
v.gpe:32:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endtable", ENDTABLE, NO_TYPE},
         ^
v.gpe:25:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endmodule", ENDMODULE, NO_TYPE},
         ^
v.gpe:26:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:36:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endfunction", ENDFUNCTION, NO_TYPE},
         ^
v.gpe:29:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endprimitive", ENDPRIMITIVE, NO_TYPE},
         ^
v.gpe:110:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"trior", NETTYPE, NET_TRIOR_TYPE},
         ^
v.gpe:108:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"triand", NETTYPE, NET_TRIAND_TYPE},
         ^
v.gpe:45:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"integer", INTEGER, NO_TYPE},
         ^
v.gpe:47:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"event", EVENT, NO_TYPE},
         ^
v.gpe:73:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"or", OR, NO_TYPE},
         ^
v.gpe:106:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tri", NETTYPE, NET_TRI_TYPE},
         ^
v.gpe:79:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"nor", LOGIC, GATE_NOR_TYPE},
         ^
v.gpe:44:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"time", TIME, NO_TYPE},
         ^
v.gpe:84:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"not", BUFFER, GATE_NOT_TYPE},
         ^
v.gpe:75:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"medium", MEDIUM, NO_TYPE},
         ^
v.gpe:91:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rnmos", BUFIF, GATE_RNMOS_TYPE},
         ^
v.gpe:62:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"disable", DISABLE, NO_TYPE},
         ^
v.gpe:63:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:58:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"repeat", REPEAT, NO_TYPE},
         ^
v.gpe:34:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endtask", ENDTASK, NO_TYPE},
         ^
v.gpe:39:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"output", OUTPUT, NO_TYPE},
         ^
v.gpe:40:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"inout", INOUT, NO_TYPE},
         ^
v.gpe:23:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"module", MODULE, NO_TYPE},
         ^
v.gpe:70:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"join", JOIN, NO_TYPE},
         ^
v.gpe:38:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"input", INPUT, NO_TYPE},
         ^
v.gpe:27:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"endspecify", ENDSPECIFY, NO_TYPE},
         ^
v.gpe:28:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:28:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"primitive", PRIMITIVE, NO_TYPE},
         ^
v.gpe:81:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"xor", LOGIC, GATE_XOR_TYPE},
         ^
v.gpe:82:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"xnor", LOGIC, GATE_XNOR_TYPE},
         ^
v.gpe:89:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"nmos", BUFIF, GATE_NMOS_TYPE},
         ^
v.gpe:77:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"and", LOGIC, GATE_AND_TYPE},
         ^
v.gpe:78:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"nand", LOGIC, GATE_NAND_TYPE},
         ^
v.gpe:97:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tran", TRAN, GATE_TRAN_TYPE},
         ^
v.gpe:92:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rpmos", BUFIF, GATE_RPMOS_TYPE},
         ^
v.gpe:66:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"default", DEFAULT, NO_TYPE},
         ^
v.gpe:63:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"deassign", DEASSIGN, NO_TYPE},
         ^
v.gpe:64:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:128:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"specparam", SPECPARAM, NO_TYPE},
         ^
v.gpe:35:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"function", FUNCTION, NO_TYPE},
         ^
v.gpe:36:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
         ^
v.gpe:36:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
               ^
v.gpe:31:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"table", TABLE, NO_TYPE},
         ^
v.gpe:48:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"defparam", DEFPARAM, NO_TYPE},
         ^
v.gpe:71:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"posedge", POSEDGE, NO_TYPE},
         ^
v.gpe:65:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"release", RELEASE, NO_TYPE},
         ^
v.gpe:60:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"for", FOR, NO_TYPE},
         ^
v.gpe:105:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"wire", NETTYPE, NET_WIRE_TYPE},
         ^
v.gpe:64:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"force", FORCE, NO_TYPE},
         ^
v.gpe:90:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"pmos", BUFIF, GATE_PMOS_TYPE},
         ^
v.gpe:57:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"forever", FOREVER, NO_TYPE},
         ^
v.gpe:26:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"specify", SPECIFY, NO_TYPE},
         ^
v.gpe:52:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"else", ELSE, NO_TYPE},
         ^
v.gpe:42:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"vectored", VECTORED, NO_TYPE},
         ^
v.gpe:30:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"assign", ASSIGN, NO_TYPE},
         ^
v.gpe:109:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"wor", NETTYPE, NET_WOR_TYPE},
         ^
v.gpe:37:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"parameter", PARAMETER, NO_TYPE},
         ^
v.gpe:102:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rtranif1", BUFIF, GATE_RTRANIF1_TYPE},
         ^
v.gpe:94:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rcmos", CMOS, GATE_RCMOS_TYPE},
         ^
v.gpe:49:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"initial", INITIAL, NO_TYPE},
         ^
v.gpe:111:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tri1", NETTYPE, NET_TRI1_TYPE},
         ^
v.gpe:59:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"while", WHILE, NO_TYPE},
         ^
v.gpe:60:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:33:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"task", TASK, NO_TYPE},
         ^
v.gpe:34:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:101:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"rtranif0", BUFIF, GATE_RTRANIF0_TYPE},
         ^
v.gpe:124:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"strong1", STRENGTH1, STRENGTH_STRONG1_TYPE},
         ^
v.gpe:69:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"fork", FORK, NO_TYPE},
         ^
v.gpe:112:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tri0", NETTYPE, NET_TRI0_TYPE},
         ^
v.gpe:96:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"pulldown", PULL, GATE_PULLDN_TYPE},
         ^
v.gpe:51:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"if", IF, NO_TYPE},
         ^
v.gpe:88:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"notif1", BUFIF, GATE_NOTIF1_TYPE},
         ^
v.gpe:129:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"edge", EDGE, NO_TYPE},
         ^
v.gpe:93:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"cmos", CMOS, GATE_CMOS_TYPE},
         ^
v.gpe:119:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"strong0", STRENGTH0, STRENGTH_STRONG0_TYPE},
         ^
v.gpe:72:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"negedge", NEGEDGE, NO_TYPE},
         ^
v.gpe:107:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"wand", NETTYPE, NET_WAND_TYPE},
         ^
v.gpe:83:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"buf", BUFFER, GATE_BUF_TYPE},
         ^
v.gpe:46:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"real", REAL, NO_TYPE},
         ^
v.gpe:87:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"notif0", BUFIF, GATE_NOTIF0_TYPE},
         ^
v.gpe:88:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:67:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"begin", BEGIN, NO_TYPE},
         ^
v.gpe:115:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"trireg", TRIREG, NET_TRIREG_TYPE},
         ^
v.gpe:116:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:61:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"wait", WAIT_, NO_TYPE},
         ^
v.gpe:53:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"case", CASE, NO_TYPE},
         ^
v.gpe:95:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"pullup", PULL, GATE_PULLUP_TYPE},
         ^
v.gpe:54:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"casez", CASEZ, NO_TYPE},
         ^
v.gpe:113:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"supply1", SUPPLY1, NET_SUPPLY1_TYPE},
         ^
v.gpe:41:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"scalared", SCALARED, NO_TYPE},
         ^
v.gpe:24:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"macromodule", MACROMODULE, NO_TYPE},
         ^
v.gpe:25:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:99:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tranif1", BUFIF, GATE_TRANIF1_TYPE},
         ^
v.gpe:136:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$setuphold", SETUPHOLD, NO_TYPE},
         ^
v.gpe:137:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
         ^
v.gpe:137:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
               ^
v.gpe:114:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"supply0", SUPPLY0, NET_SUPPLY0_TYPE},
         ^
v.gpe:74:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"small", SMALL, NO_TYPE},
         ^
v.gpe:137:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$nochange", NOCHANGE, NO_TYPE},
         ^
v.gpe:132:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$period", PERIOD, NO_TYPE},
         ^
v.gpe:98:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"tranif0", BUFIF, GATE_TRANIF0_TYPE},
         ^
v.gpe:86:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"bufif1", BUFIF, GATE_BUFIF1_TYPE},
         ^
v.gpe:87:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:55:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"casex", CASEX, NO_TYPE},
         ^
v.gpe:56:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:135:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$recovery", RECOVERY, NO_TYPE},
         ^
v.gpe:136:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:131:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$hold", HOLD, NO_TYPE},
         ^
v.gpe:130:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$setup", SETUP, NO_TYPE},
         ^
v.gpe:85:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"bufif0", BUFIF, GATE_BUFIF0_TYPE},
         ^
v.gpe:86:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
         ^
v.gpe:86:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
               ^
v.gpe:86:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                     ^
v.gpe:86:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                           ^
v.gpe:86:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                 ^
v.gpe:86:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                       ^
v.gpe:86:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                             ^
v.gpe:86:45: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                                   ^
v.gpe:86:51: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                                         ^
v.gpe:87:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
         ^
v.gpe:87:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
               ^
v.gpe:87:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
                     ^
v.gpe:125:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"pull1", STRENGTH1, STRENGTH_PULL1_TYPE},
         ^
v.gpe:126:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
         ^
v.gpe:126:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
               ^
v.gpe:126:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                     ^
v.gpe:126:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                           ^
v.gpe:126:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                 ^
v.gpe:126:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                       ^
v.gpe:126:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""}, {""},
                                             ^
v.gpe:120:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"pull0", STRENGTH0, STRENGTH_PULL0_TYPE},
         ^
v.gpe:121:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
         ^
v.gpe:121:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
               ^
v.gpe:126:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"weak1", STRENGTH1, STRENGTH_WEAK1_TYPE},
         ^
v.gpe:50:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"always", ALWAYS, NO_TYPE},
         ^
v.gpe:51:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
         ^
v.gpe:51:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
               ^
v.gpe:51:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
                     ^
v.gpe:51:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
                           ^
v.gpe:51:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
                                 ^
v.gpe:51:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""}, {""},
                                       ^
v.gpe:121:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"weak0", STRENGTH0, STRENGTH_WEAK0_TYPE},
         ^
v.gpe:122:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:133:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$width", WIDTH, NO_TYPE},
         ^
v.gpe:134:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
         ^
v.gpe:134:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
               ^
v.gpe:134:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""},
                     ^
v.gpe:43:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"reg", REG, NO_TYPE},
         ^
v.gpe:44:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
         ^
v.gpe:44:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""},
               ^
v.gpe:127:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"highz1", STRENGTH1, STRENGTH_HIGHZ1_TYPE},
         ^
v.gpe:128:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""},
         ^
v.gpe:134:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"$skew", SKEW, NO_TYPE},
         ^
v.gpe:135:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""},
         ^
v.gpe:135:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""},
               ^
v.gpe:135:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""},
                     ^
v.gpe:135:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""},
                           ^
v.gpe:135:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {""}, {""}, {""}, {""}, {""},
                                 ^
v.gpe:122:3: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        {"highz0", STRENGTH0, STRENGTH_HIGHZ0_TYPE}
         ^
lex.cc:160:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Missing macro name in compiler directive", NULL_CHAR,
              ^
lex.cc:177:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        yyerror("Illegal macro name");
                ^
lex.cc:220:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Unbalanced ifdef/endif pairs", NULL_CHAR, NULL_CHAR);
                  ^
lex.cc:237:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("`else not paired with `ifdef", NULL_CHAR, NULL_CHAR);
                  ^
lex.cc:334:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("String expected after `include", NULL_CHAR, NULL_CHAR);
                  ^
lex.cc:444:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Illegal radix ", (char *) c1, NULL_CHAR);
                  ^
lex.cc:484:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Extra digits in binary number", NULL_CHAR, NULL_CHAR);
                    ^
lex.cc:519:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    yyerror("Illegal digit in binary number");
                            ^
lex.cc:533:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Extra digits in hexidecimal number", NULL_CHAR,
                    ^
lex.cc:597:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    yyerror("Illegal digit in hexidecimal number");
                            ^
lex.cc:609:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Extra digits in octal number", NULL_CHAR, NULL_CHAR);
                    ^
lex.cc:657:6: warning: cast to 'char *' from smaller integer type 'int' [-Wint-to-pointer-cast]
                          (char *) c, NULL_CHAR);
                          ^~~~~~~~~~
lex.cc:656:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("Illegal digit in octal number: '%c'",
                          ^
lex.cc:690:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                error("Illegal digit in decimal number", NULL_CHAR,
                      ^
lex.cc:722:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Extra digits in decimal number", NULL_CHAR,
                    ^
lex.cc:481:13: warning: 6 enumeration values not handled in switch: 'STRING_', 'CHAR_', 'EXP_'... [-Wswitch]
    switch (base) {
            ^
lex.cc:481:13: note: add missing switch cases
    switch (base) {
            ^
lex.cc:866:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("Too many decimal points in floating point constant",
                         ^
lex.cc:898:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                yyerror("Illegal length in constant");
                        ^
lex.cc:917:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                yyerror("Decimal constant larger than 32 bits");
                        ^
lex.cc:968:3: warning: add explicit braces to avoid dangling else [-Wdangling-else]
                else
                ^
lex.cc:1063:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                yyerror("Missing quote");
                        ^
lex.cc:1149:36: warning: '/*' within block comment [-Wcomment]
/*    lvalp->ttype = build_string (/* p - * /token_buffer, token_buffer + 1); */
                                   ^
lex.cc:1229:55: warning: cast to 'char *' from smaller integer type 'int' [-Wint-to-pointer-cast]
            warning("Unprintable character ignored: 0x%03x", (char *) c,
                                                             ^~~~~~~~~~
lex.cc:1229:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            warning("Unprintable character ignored: 0x%03x", (char *) c,
                    ^
lex.cc:1277:38: warning: '/*' within block comment [-Wcomment]
            else if (c == '*') {        /* Handle "/*" */
                                                   ^
lex.cc:1284:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                            yyerror("/* found in comment");
                                    ^
lex.cc:1292:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        yyerror("unterminated comment block");
                                ^
lex.cc:1321:32: warning: '/*' within block comment [-Wcomment]
/* follow(expect, ifyes, ifno)  /* look ahead for >=, etc. */
                                ^
202 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT lex.lo -MD -MP -MF .deps/lex.Tpo -c lex.cc -o lex.o >/dev/null 2>&1
mv -f .deps/lex.Tpo .deps/lex.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT pli.lo -MD -MP -MF .deps/pli.Tpo -c -o pli.lo pli.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pli.lo -MD -MP -MF .deps/pli.Tpo -c pli.cc  -fno-common -DPIC -o .libs/pli.o
In file included from pli.cc:30:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from pli.cc:31:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
pli.cc:103:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:130:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Out of Memory");
                     ^
pli.cc:139:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Out of Memory");
                     ^
pli.cc:247:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_warning("String pointer being reset");
                   ^
pli.cc:281:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_warning("String pointer being reset");
                   ^
pli.cc:306:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:429:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Unknown configuration parameter %d in acc_configure",
                 ^
pli.cc:435:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Unknown configuration value \"%s\" in acc_configure",
                 ^
pli.cc:444:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:494:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Memory allocation failed in acc_collect");
                 ^
pli.cc:677:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    pli_write_string("VeriWell Simulator Version ");
                     ^
pli.cc:691:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    pli_write_string("Access Routines Version ");
                     ^
pli.cc:699:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:748:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:759:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:770:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:813:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1019:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_handle_conn()");
                 ^
pli.cc:1051:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1068:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1097:1: warning: non-void function does not return a value in all control paths [-Wreturn-type]
}
^
pli.cc:1116:13: warning: 139 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'PATH_OUTPUT'... [-Wswitch]
    switch (TREE_CODE((tree) object)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1116:13: note: add missing switch cases
    switch (TREE_CODE((tree) object)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1296:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1316:13: warning: 135 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1316:13: note: add missing switch cases
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1353:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1362:13: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (TREE_CODE(info->instance)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1362:13: note: add missing switch cases
    switch (TREE_CODE(info->instance)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1428:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1446:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1505:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:1741:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "and";
                   ^
pli.cc:1744:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "bufif0";
                   ^
pli.cc:1747:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "bufif1";
                   ^
pli.cc:1750:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "buf";
                   ^
pli.cc:1753:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "cmos";
                   ^
pli.cc:1756:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "nand";
                   ^
pli.cc:1759:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "nmos";
                   ^
pli.cc:1762:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "nor";
                   ^
pli.cc:1765:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "notif0";
                   ^
pli.cc:1768:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "notif1";
                   ^
pli.cc:1771:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "not";
                   ^
pli.cc:1774:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "or";
                   ^
pli.cc:1777:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "pmos";
                   ^
pli.cc:1780:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "pulldown";
                   ^
pli.cc:1783:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "pullup";
                   ^
pli.cc:1786:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rcmos";
                   ^
pli.cc:1789:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rnmos";
                   ^
pli.cc:1792:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rpmos";
                   ^
pli.cc:1795:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rtranif0";
                   ^
pli.cc:1798:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rtranif1";
                   ^
pli.cc:1801:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "rtran";
                   ^
pli.cc:1804:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "tranif0";
                   ^
pli.cc:1807:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "tranif1";
                   ^
pli.cc:1810:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "tran";
                   ^
pli.cc:1816:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "xnor";
                   ^
pli.cc:1819:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            name = "xor";
                   ^
pli.cc:1735:13: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (TREE_CODE(t)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1735:13: note: add missing switch cases
    switch (TREE_CODE(t)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:1842:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accModule";
                 ^
pli.cc:1845:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accScope";
                 ^
pli.cc:1848:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNet";
                 ^
pli.cc:1851:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRegister";
                 ^
pli.cc:1854:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPort";
                 ^
pli.cc:1857:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTerminal";
                 ^
pli.cc:1860:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInputTerminal";
                 ^
pli.cc:1863:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accOutputTerminal";
                 ^
pli.cc:1866:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInoutTerminal";
                 ^
pli.cc:1869:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCombPrim";
                 ^
pli.cc:1872:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSeqPrim";
                 ^
pli.cc:1875:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAndGate";
                 ^
pli.cc:1878:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNandGate";
                 ^
pli.cc:1881:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNorGate";
                 ^
pli.cc:1884:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accOrGate";
                 ^
pli.cc:1887:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accXorGate";
                 ^
pli.cc:1890:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accXnorGate";
                 ^
pli.cc:1893:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBufGate";
                 ^
pli.cc:1896:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNotGate";
                 ^
pli.cc:1899:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBufif0Gate";
                 ^
pli.cc:1902:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBufif1Gate";
                 ^
pli.cc:1905:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNotif0Gate";
                 ^
pli.cc:1908:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNotif1Gate";
                 ^
pli.cc:1911:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNmosGate";
                 ^
pli.cc:1914:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPmosGate";
                 ^
pli.cc:1917:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCmosGate";
                 ^
pli.cc:1920:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRnmosGate";
                 ^
pli.cc:1923:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRpmosGate";
                 ^
pli.cc:1926:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRcmosGate";
                 ^
pli.cc:1929:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtranGate";
                 ^
pli.cc:1932:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtranif0Gate";
                 ^
pli.cc:1935:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtranif1Gate";
                 ^
pli.cc:1938:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTranGate";
                 ^
pli.cc:1941:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTranif0Gate";
                 ^
pli.cc:1944:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTranif1Gate";
                 ^
pli.cc:1947:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPullupGate";
                 ^
pli.cc:1950:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPulldownGate";
                 ^
pli.cc:1953:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accIntegerParam";
                 ^
pli.cc:1956:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRealParam";
                 ^
pli.cc:1959:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accStringParam";
                 ^
pli.cc:1962:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPath";
                 ^
pli.cc:1965:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTchk";
                 ^
pli.cc:1968:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPrimitive";
                 ^
pli.cc:1971:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBit";
                 ^
pli.cc:1974:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPortBit";
                 ^
pli.cc:1977:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNetBit";
                 ^
pli.cc:1980:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRegBit";
                 ^
pli.cc:1983:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accParameter";
                 ^
pli.cc:1986:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSpecparam";
                 ^
pli.cc:1989:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTopModule";
                 ^
pli.cc:1992:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accModuleInstance";
                 ^
pli.cc:1995:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCellInstance";
                 ^
pli.cc:1998:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accModPath";
                 ^
pli.cc:2001:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPrimPath";
                 ^
pli.cc:2004:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWirePath";
                 ^
pli.cc:2007:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInterModPath";
                 ^
pli.cc:2010:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTermPath";
                 ^
pli.cc:2013:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accModTermPath";
                 ^
pli.cc:2016:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTermModPath";
                 ^
pli.cc:2019:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accScalarPort";
                 ^
pli.cc:2022:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBitSelectPort";
                 ^
pli.cc:2025:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPartSelectPort";
                 ^
pli.cc:2028:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accVectorPort";
                 ^
pli.cc:2031:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accConcatPort";
                 ^
pli.cc:2034:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWire";
                 ^
pli.cc:2037:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWand";
                 ^
pli.cc:2040:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWor";
                 ^
pli.cc:2043:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTri";
                 ^
pli.cc:2046:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTriand";
                 ^
pli.cc:2049:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTrior";
                 ^
pli.cc:2052:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTri0";
                 ^
pli.cc:2055:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTri1";
                 ^
pli.cc:2058:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTrireg";
                 ^
pli.cc:2061:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSupply0";
                 ^
pli.cc:2064:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSupply1";
                 ^
pli.cc:2067:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNamedEvent";
                 ^
pli.cc:2070:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accIntegerVar";
                 ^
pli.cc:2073:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRealVar";
                 ^
pli.cc:2076:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTimeVar";
                 ^
pli.cc:2079:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accScalar";
                 ^
pli.cc:2082:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accVector";
                 ^
pli.cc:2085:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCollapsedNet";
                 ^
pli.cc:2088:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accExpandedVector";
                 ^
pli.cc:2091:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accProtected";
                 ^
pli.cc:2094:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accVlogSimPath";
                 ^
pli.cc:2097:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accExpandedPath";
                 ^
pli.cc:2100:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSwXlInvisibleNet";
                 ^
pli.cc:2103:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAcceleratedNet";
                 ^
pli.cc:2106:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSetup";
                 ^
pli.cc:2109:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accHold";
                 ^
pli.cc:2112:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWidth";
                 ^
pli.cc:2115:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPeriod";
                 ^
pli.cc:2118:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRecovery";
                 ^
pli.cc:2121:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSkew";
                 ^
pli.cc:2124:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNoChange";
                 ^
pli.cc:2127:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSetuphold";
                 ^
pli.cc:2130:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInput";
                 ^
pli.cc:2133:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accOutput";
                 ^
pli.cc:2136:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInout";
                 ^
pli.cc:2139:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPositive";
                 ^
pli.cc:2142:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNegative";
                 ^
pli.cc:2145:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUnknown";
                 ^
pli.cc:2148:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPathTerminal";
                 ^
pli.cc:2151:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPathInput";
                 ^
pli.cc:2154:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPathOutput";
                 ^
pli.cc:2157:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accDataPath";
                 ^
pli.cc:2160:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTchkTerminal";
                 ^
pli.cc:2163:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accBitSelect";
                 ^
pli.cc:2166:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accPartSelect";
                 ^
pli.cc:2169:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTask";
                 ^
pli.cc:2172:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accFunction";
                 ^
pli.cc:2175:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accStatement";
                 ^
pli.cc:2178:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accTaskCall";
                 ^
pli.cc:2181:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accFunctionCall";
                 ^
pli.cc:2184:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSystemTask";
                 ^
pli.cc:2187:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSystemFunction";
                 ^
pli.cc:2190:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accSystemRealFunction";
                 ^
pli.cc:2193:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUserTask";
                 ^
pli.cc:2196:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUserFunction";
                 ^
pli.cc:2199:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUserRealFunction";
                 ^
pli.cc:2202:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAssignmentStat";
                 ^
pli.cc:2205:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accContAssignStat";
                 ^
pli.cc:2208:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNullStat";
                 ^
pli.cc:2211:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accDelayStat";
                 ^
pli.cc:2214:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAssignDelayStat";
                 ^
pli.cc:2217:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtlDelayStat";
                 ^
pli.cc:2220:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAssignEventStat";
                 ^
pli.cc:2223:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAssignMultiStat";
                 ^
pli.cc:2226:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtlEventStat";
                 ^
pli.cc:2229:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRtlMultiStat";
                 ^
pli.cc:2232:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accGenEventStat";
                 ^
pli.cc:2235:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accDisableStat";
                 ^
pli.cc:2238:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAssignStat";
                 ^
pli.cc:2241:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accDeassignStat";
                 ^
pli.cc:2244:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accForceStat";
                 ^
pli.cc:2247:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accReleaseStat";
                 ^
pli.cc:2250:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accInitialStat";
                 ^
pli.cc:2253:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAlwaysStat";
                 ^
pli.cc:2256:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accAtEventStat";
                 ^
pli.cc:2259:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUnnamedBeginStat";
                 ^
pli.cc:2262:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNamedBeginStat";
                 ^
pli.cc:2265:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accUnnamedForkStat";
                 ^
pli.cc:2268:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accNamedForkStat";
                 ^
pli.cc:2271:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accIfStat";
                 ^
pli.cc:2274:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCaseStat";
                 ^
pli.cc:2277:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCaseZStat";
                 ^
pli.cc:2280:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accCaseXStat";
                 ^
pli.cc:2283:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accForeverStat";
                 ^
pli.cc:2286:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accRepeatStat";
                 ^
pli.cc:2289:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWhileStat";
                 ^
pli.cc:2292:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accForStat";
                 ^
pli.cc:2295:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accWaitStat";
                 ^
pli.cc:2298:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accConstant";
                 ^
pli.cc:2301:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accConcat";
                 ^
pli.cc:2304:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        string = "accOperator";
                 ^
pli.cc:2316:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:3203:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:3223:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:3269:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:3694:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_fetch_delays()");
                 ^
pli.cc:3987:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_replace_delays()");
                 ^
pli.cc:4017:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_append_delays()");
                 ^
pli.cc:4034:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_append_delays()");
                 ^
pli.cc:4053:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_fetch_pulsere() not supported");
             ^
pli.cc:4066:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_append_pulsere() not supported");
             ^
pli.cc:4079:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_replace_pulsere() not supported");
             ^
pli.cc:4090:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_set_pulsere() not supported");
             ^
pli.cc:4096:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4141:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            result = acc_fetch_value(object, "%b");
                                             ^
pli.cc:4145:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            result = acc_fetch_value(object, "%o");
                                             ^
pli.cc:4149:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            result = acc_fetch_value(object, "%d");
                                             ^
pli.cc:4153:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            result = acc_fetch_value(object, "%h");
                                             ^
pli.cc:4280:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4298:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("only 'vcl_verilog_logic' flag is supported in acc_vcl_add()");
             ^
pli.cc:4311:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object type for acc_vcl_add");
                 ^
pli.cc:4317:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_warning("vcl already set in acc_vcl_add()");
                   ^
pli.cc:4429:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Unknown type while dispatching vcl");
                 ^
pli.cc:4437:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4450:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("only 'vcl_verilog_logic' flag is supported in acc_vcl_delete()");
             ^
pli.cc:4510:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Unable to set scope in acc_set_scope()");
                 ^
pli.cc:4540:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    pli_append_string(".");
                      ^
pli.cc:4555:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Bad handle in acc_fetch_fullname()");
                 ^
pli.cc:4587:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4603:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4614:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4625:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4635:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4645:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4649:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("tf_write_save() is not supported");
             ^
pli.cc:4655:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4659:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("tf_read_restart() is not supported");
             ^
pli.cc:4665:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4674:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4688:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d is out of range in acc_fetch_tfarg()",
             ^
pli.cc:4718:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d in acc_fetch_tfarg() is not representable",
             ^
pli.cc:4727:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4741:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d is out of range in acc_fetch_tfarg_int()",
             ^
pli.cc:4764:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d in acc_fetch_tfarg_int() is not representable",
             ^
pli.cc:4773:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4785:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d is out of range in acc_fetch_tfarg_str()",
             ^
pli.cc:4799:24: warning: format specifies type 'int' but the argument has type 'long' [-Wformat]
        sprintf(buffer, "%d", tf_getp(argumentNumber));
                         ~~   ^~~~~~~~~~~~~~~~~~~~~~~
                         %ld
pli.cc:4808:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            ("argument number %d in acc_fetch_tfarg_str() is not representable",
             ^
pli.cc:4817:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:4841:13: warning: 139 enumeration values not handled in switch: 'ERROR_MARK', 'TREE_LIST', 'PATH_OUTPUT'... [-Wswitch]
    switch (TREE_CODE(arg)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:4841:13: note: add missing switch cases
    switch (TREE_CODE(arg)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:4947:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        acc_fetch_value(param, "%%", &value);
                               ^
pli.cc:4951:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        acc_fetch_value(param, "%%", &value);
                               ^
pli.cc:4955:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        acc_fetch_value(param, "%%", &value);
                               ^
pli.cc:5016:13: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:5016:13: note: add missing switch cases
    switch (TREE_CODE(node)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:5264:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Out of Memory");
                     ^
pli.cc:5289:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("ERROR!\t");
                 ^
pli.cc:5293:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("SYSTEM!\t");
                 ^
pli.cc:5298:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("INTERNAL!\t");
                 ^
pli.cc:5305:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("WARNING!\t");
                 ^
pli.cc:5308:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("MESSAGE!\t");
                 ^
pli.cc:5336:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("ERROR! ");
             ^
pli.cc:5340:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\t[User-PLI]\n");
             ^
pli.cc:5355:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("WARNING! ");
             ^
pli.cc:5359:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("\t[User-PLI]\n");
             ^
pli.cc:6855:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_fetch_attribute() is not supported\n");
             ^
pli.cc:6866:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_fetch_attribute() is not supported\n");
             ^
pli.cc:6876:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_fetch_attribute() is not supported\n");
             ^
pli.cc:6930:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("lcb already exists in acc_mod_lcb_add()");
                     ^
pli.cc:6969:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("lcb in acc_mod_lcb_delete() does not exist");
                 ^
pli.cc:6984:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:6992:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal object in acc_fetch_name()");
                 ^
pli.cc:7004:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:7013:13: warning: 140 enumeration values not handled in switch: 'ERROR_MARK', 'PATH_OUTPUT', 'PATH_NODE'... [-Wswitch]
    switch (TREE_CODE((tree) object)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:7013:13: note: add missing switch cases
    switch (TREE_CODE((tree) object)) {
            ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
pli.cc:7112:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:7126:1: warning: '/*' within block comment [-Wcomment]
/***********************************************************************/
^
pli.cc:7166:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal time type in acc_set_value()");
                 ^
pli.cc:7181:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Object must be either a register or sequential UDP in acc_set_value()");
                 ^
pli.cc:7197:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Object must be a register in acc_set_value()");
                     ^
pli.cc:7207:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Object must be a register in acc_set_value()");
                     ^
pli.cc:7218:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Object must be a register in acc_set_value()");
                     ^
pli.cc:7229:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Object must be either a register or net in acc_set_value()");
                 ^
pli.cc:7241:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Object must be either a register or net in acc_set_value()");
                 ^
pli.cc:7251:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("accInertialDelay not supported in acc_set_value()");
                 ^
pli.cc:7255:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("accTransportDelay not supported in acc_set_value()");
                 ^
pli.cc:7259:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("Illegal time model in acc_set_value()");
                 ^
pli.cc:7329:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("Illegal format in acc_set_value()");
                     ^
pli.cc:7339:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            tf_error("accSeqPrim are not supported in acc_set_value()");
                     ^
pli.cc:7399:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("accInertialDelay is not supported in acc_set_value()");
                 ^
pli.cc:7403:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("accTransportDelay is not supported in acc_set_value()");
                 ^
pli.cc:7422:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    tf_error("acc_handle_hiconn() is not supported");
             ^
303 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT pli.lo -MD -MP -MF .deps/pli.Tpo -c pli.cc -o pli.o >/dev/null 2>&1
mv -f .deps/pli.Tpo .deps/pli.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT tree.lo -MD -MP -MF .deps/tree.Tpo -c -o tree.lo tree.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT tree.lo -MD -MP -MF .deps/tree.Tpo -c tree.cc  -fno-common -DPIC -o .libs/tree.o
In file included from tree.cc:30:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from tree.cc:37:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from tree.cc:103:
./tree.def:51:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ERROR_MARK, "error_mark", "x", 3)
                                       ^
./tree.def:57:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (IDENTIFIER_NODE, "identifier_node", "x", 7)
                                                 ^
./tree.def:64:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TREE_LIST, "tree_list", "x", 3)
                                     ^
./tree.def:66:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_OUTPUT, "path_output", "x", 6 )
                                         ^
./tree.def:67:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_INSTANCE, "path_instance", "x", 2 )
                                             ^
./tree.def:79:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_NODE, "path_node", "x", 10)
                                     ^
./tree.def:89:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CHECK_SPEC, "check_spec", "x", 8)
                                       ^
./tree.def:98:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PLI_DELAY, "pli_delay", "x", 5 )
                                     ^
./tree.def:106:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIMING_EVENT, "timing_event", "x", 3 )
                                           ^
./tree.def:108:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIMING_CHECK, "timing_check", "x", 21 )
                                           ^
./tree.def:109:41: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOTIFIER_NODE, "notifier", "x", 2 )
                                        ^
./tree.def:110:45: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (UDP_STRING_NODE, "udp_string", "x", 9 )
                                            ^
./tree.def:111:43: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (UDP_TABLE_NODE, "udp_table", "x", 10 )
                                          ^
./tree.def:136:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MODULE_BLOCK, "module_block", "b", 8)
                                           ^
./tree.def:140:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TASK_BLOCK, "task_block", "b", 2)
                                       ^
./tree.def:141:48: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FUNCTION_BLOCK, "function_block", "b", 5)
                                               ^
./tree.def:144:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NAMED_BLOCK, "named_block", "b", 0)
                                         ^
./tree.def:147:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SUPER_BLOCK, "super_block", "b", 0)
                                         ^
./tree.def:157:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INITIAL_BLOCK, "initial_block", "s", 1)
                                             ^
./tree.def:158:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ALWAYS_BLOCK, "always_block", "s", 1)
                                           ^
./tree.def:163:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NULL_STMT, "null_stmt", "s", 0)
                                     ^
./tree.def:166:54: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DUMMY_RETURN_STMT, "dummy_return_stmt", "s", 0)
                                                     ^
./tree.def:169:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DUMP_STMT, "dump_stmt", "s", 0)
                                     ^
./tree.def:172:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FINISH_STMT, "finish_stmt", "s", 0)
                                         ^
./tree.def:176:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LABEL_STMT, "label_stmt", "s", 1)
                                       ^
./tree.def:195:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_STMT, "assign_stmt", "s", 3)
                                         ^
./tree.def:196:54: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_DELAY_STMT, "assign_delay_stmt", "s", 7)
                                                     ^
./tree.def:197:54: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_EVENT_STMT, "assign_delay_stmt", "s", 7)
                                                     ^
./tree.def:198:61: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_NONBLK_DELAY_STMT, "assign_delay_stmt", "s", 7)
                                                            ^
./tree.def:199:61: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_NONBLK_EVENT_STMT, "assign_delay_stmt", "s", 9)
                                                            ^
./tree.def:200:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_CONT_STMT, "assign_cont_stmt", "s", 5)
                                                   ^
./tree.def:205:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_PROC_STMT, "assign_proc_stmt", "s", 5)
                                                   ^
./tree.def:206:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORCE_STMT, "force_stmt", "s", 7)
                                       ^
./tree.def:216:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (IF_STMT, "if_stmt", "s", 4)
                                 ^
./tree.def:229:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASE_STMT, "case_stmt", "s", 4)
                                     ^
./tree.def:230:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASEZ_STMT, "casez_stmt", "s", 4)
                                       ^
./tree.def:231:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASEX_STMT, "casex_stmt", "s", 4)
                                       ^
./tree.def:235:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FOREVER_STMT, "forever_stmt", "s", 1)
                                           ^
./tree.def:240:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REPEAT_INIT_STMT, "repeat_init_stmt", "s", 4)
                                                   ^
./tree.def:241:47: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REPEAT_STMT, "repeat_init_stmt", "s", 4)
                                              ^
./tree.def:246:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (WHILE_STMT, "while_stmt", "s", 3)
                                       ^
./tree.def:253:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FOR_STMT, "for_stmt", "s", 4)
                                   ^
./tree.def:259:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (WAIT_STMT, "wait_stmt", "s", 4)
                                     ^
./tree.def:262:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LOOPBACK_STMT, "loopback_stmt", "s", 1)
                                             ^
./tree.def:268:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DELAY_STMT, "delay_stmt", "s", 3)
                                       ^
./tree.def:273:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EVENT_STMT, "event_stmt", "s", 3)
                                       ^
./tree.def:278:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARROW_STMT, "arrow_stmt", "s", 2)
                                       ^
./tree.def:286:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BEGIN_STMT, "begin_stmt", "s", 1)
                                       ^
./tree.def:287:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BEGIN_NAMED_STMT, "begin_named_stmt", "s", 3)
                                                   ^
./tree.def:288:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (END_STMT, "end_stmt", "s", 0)
                                   ^
./tree.def:289:48: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (END_NAMED_STMT, "end_named_stmt", "s", 2)
                                               ^
./tree.def:297:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORK_STMT, "fork_stmt", "s", 3)
                                     ^
./tree.def:298:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORK_NAMED_STMT, "fork_named_stmt", "s", 4)
                                                 ^
./tree.def:299:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (JOIN_STMT, "join_stmt", "s", 1)
                                     ^
./tree.def:300:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (JOIN_NAMED_STMT, "join_named_stmt", "s", 2)
                                                 ^
./tree.def:307:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TASK_STMT, "task_stmt", "s", 5)
                                     ^
./tree.def:308:45: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SYSTASK_STMT, "sys_task_stmt", "s", 10)
                                            ^
./tree.def:309:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FUNCTION_REF, "function_ref", "r", 7)
                                           ^
./tree.def:310:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SYSFUNCTION_REF, "sysfunction_ref", "r", 12)
                                                 ^
./tree.def:312:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GATE_INSTANCE, "gate_instance", "g", 0)
                                             ^
./tree.def:318:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_STMT, "disable_stmt", "s", 2)
                                           ^
./tree.def:319:54: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_TASK_STMT, "disable_task_stmt", "s", 1)
                                                     ^
./tree.def:320:56: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_BLOCK_STMT, "disable_block_stmt", "s", 1)
                                                       ^
./tree.def:324:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DEASSIGN_STMT, "deassign_stmt", "s", 1)
                                             ^
./tree.def:325:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RELEASE_STMT, "release_stmt", "s", 1)
                                           ^
./tree.def:340:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INSTANCE_NODE, "instance_node", "s", 5)
                                             ^
./tree.def:348:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INTEGER_CST, "integer_cst", "c", 2)
                                         ^
./tree.def:351:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_CST, "real_cst", "c", 2)
                                   ^
./tree.def:354:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_CST, "bit_cst", "c", 3)
                                 ^
./tree.def:357:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (STRING_CST, "string_cst", "c", 3)
                                       ^
./tree.def:376:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INTEGER_DECL, "integer_decl", "d", 0)
                                           ^
./tree.def:379:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_DECL, "real_decl", "d", 0)
                                     ^
./tree.def:382:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REG_SCALAR_DECL, "reg_scalar_decl", "d", 0)
                                                 ^
./tree.def:385:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIME_DECL, "time_decl", "d", 0)
                                     ^
./tree.def:388:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REG_VECTOR_DECL, "reg_vector_decl", "d", 0)
                                                 ^
./tree.def:391:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TMP_DECL, "tmp_decl", "d", 0)
                                   ^
./tree.def:394:49: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_CONSTRAINT,"path_constraint", "x", 19 )
                                                ^
./tree.def:399:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NET_SCALAR_DECL, "net_scalar_decl", "d", 3)
                                                 ^
./tree.def:400:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NET_VECTOR_DECL, "net_vector_decl", "d", 3)
                                                 ^
./tree.def:407:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARRAY_DECL, "array_decl", "d", 5)
                                       ^
./tree.def:417:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PORT_SCALAR_DECL, "port_scalar_decl", "d", 1)
                                                   ^
./tree.def:418:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PORT_VECTOR_DECL, "port_vector_decl", "d", 3)
                                                   ^
./tree.def:419:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARG_SCALAR_DECL, "arg_scalar_decl", "d", 1)
                                                 ^
./tree.def:420:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARG_VECTOR_DECL, "arg_vector_decl", "d", 3)
                                                 ^
./tree.def:430:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PARAM_DECL, "param_decl", "d", 3)
                                       ^
./tree.def:432:48: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SPECPARAM_DECL, "specparam_decl", "d", 3)
                                               ^
./tree.def:437:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EVENT_DECL, "event_decl", "d", 0)
                                       ^
./tree.def:441:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RESULT_DECL, "result_decl", "d", 1)
                                         ^
./tree.def:445:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BLOCK_DECL, "block_decl", "d", 0)
                                       ^
./tree.def:450:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (HIERARCHICAL_REF, "hierarchical_ref", "r", 1)
                                                   ^
./tree.def:454:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARRAY_REF, "array_ref", "r", 4)
                                     ^
./tree.def:457:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_REF, "bit_ref", "r", 4)
                                 ^
./tree.def:466:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PART_REF, "part_ref", "r", 9)
                                   ^
./tree.def:468:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONCAT_REF, "concat_ref", "r", 2)
                                       ^
./tree.def:469:48: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONCAT_REP_REF, "concat_rep_ref", "r", 4)
                                               ^
./tree.def:473:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SHADOW_REF, "shadow_ref", "r", 0)
                                       ^
./tree.def:477:50: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SHADOW_LVAL_REF, "shadow_lval_ref", "r", 1)
                                                 ^
./tree.def:486:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RANGE_HANDLE, "range_handle", "e", 2)
                                           ^
./tree.def:490:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (COMPOUND_EXPR, "compound_expr", "e", 2)
                                             ^
./tree.def:494:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INIT_EXPR, "init_expr", "e", 2)
                                     ^
./tree.def:500:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (COND_EXPR, "cond_expr", "e3", 6)
                                     ^
./tree.def:504:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ANYEDGE_EXPR, "anyedge_expr", "er", 2)
                                           ^
./tree.def:505:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (POSEDGE_EXPR, "POSEDGE ", "er", 2)
                                       ^
./tree.def:506:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NEGEDGE_EXPR, "NEGEDGE ", "er", 2)
                                       ^
./tree.def:510:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PLUS_EXPR, "+", "ex", 2)
                             ^
./tree.def:511:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MINUS_EXPR, "-", "ex", 2)
                              ^
./tree.def:512:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MULT_EXPR, "*", "ex", 2)
                             ^
./tree.def:519:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DIV_EXPR, "/", "ex", 2)
                            ^
./tree.def:522:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MOD_EXPR, "%", "ex", 2)
                            ^
./tree.def:525:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NEGATE_EXPR, "-", "eu", 1)
                               ^
./tree.def:533:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LSHIFT_EXPR, "<<", "es", 3)
                                ^
./tree.def:534:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RSHIFT_EXPR, ">>", "es", 3)
                                ^
./tree.def:539:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_OR_EXPR, "|", "ex", 2)
                               ^
./tree.def:540:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NOR_EXPR, "~|", "ex", 2)
                                 ^
./tree.def:541:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_XOR_EXPR, "^", "ex", 2)
                                ^
./tree.def:542:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_XNOR_EXPR, "~^", "ex", 2)
                                  ^
./tree.def:543:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_AND_EXPR, "&", "ex", 2)
                                ^
./tree.def:544:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NAND_EXPR, "~&", "ex", 2)
                                  ^
./tree.def:546:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NOT_EXPR, "~", "eu", 1)
                                ^
./tree.def:553:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_ANDIF_EXPR, "&&", "e1", 3)
                                     ^
./tree.def:554:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_ORIF_EXPR, "||", "e1", 3)
                                    ^
./tree.def:555:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_AND_EXPR, "&&", "e1", 2)
                                   ^
./tree.def:556:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_OR_EXPR, "||", "e1", 2)
                                  ^
./tree.def:557:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_NOT_EXPR, "!", "er", 1)
                                  ^
./tree.def:565:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LT_EXPR, "<", "e1", 2)
                           ^
./tree.def:566:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LE_EXPR, "<=", "e1", 2)
                            ^
./tree.def:567:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GT_EXPR, ">", "e1", 2)
                           ^
./tree.def:568:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GE_EXPR, ">=", "e1", 2)
                            ^
./tree.def:569:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EQ_EXPR, "==", "e1", 2)
                            ^
./tree.def:570:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NE_EXPR, "!=", "e1", 2)
                            ^
./tree.def:571:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EQ_CASE_EXPR, "===", "e1", 2)
                                  ^
./tree.def:572:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NE_CASE_EXPR, "!==", "e1", 2)
                                  ^
./tree.def:575:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (AND_REDUCE_EXPR, "&", "er", 1)
                                   ^
./tree.def:576:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NAND_REDUCE_EXPR, "~&", "er", 1)
                                     ^
./tree.def:577:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (OR_REDUCE_EXPR, "|", "er", 1)
                                  ^
./tree.def:578:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOR_REDUCE_EXPR, "~|", "er", 1)
                                    ^
./tree.def:579:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (XOR_REDUCE_EXPR, "^", "er", 1)
                                   ^
./tree.def:580:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (XNOR_REDUCE_EXPR, "~^", "er", 1)
                                     ^
./tree.def:587:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DELAY_EXPR, "delay_expr", "e", 6)
                                       ^
./tree.def:597:44: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONVERT_EXPR, "convert_expr", "e", 1)
                                           ^
./tree.def:600:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_CONV_EXPR, "$itor ", "ec", 1)
                                       ^
./tree.def:603:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INT_CONV_EXPR, "$rtoi ", "ec", 1)
                                      ^
./tree.def:606:36: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOP_EXPR, "nop_expr", "ec", 1)
                                   ^
In file included from tree.cc:123:
./tree.def:51:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ERROR_MARK, "error_mark", "x", 3)
                         ^
./tree.def:57:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (IDENTIFIER_NODE, "identifier_node", "x", 7)
                              ^
./tree.def:64:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TREE_LIST, "tree_list", "x", 3)
                        ^
./tree.def:66:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_OUTPUT, "path_output", "x", 6 )
                          ^
./tree.def:67:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_INSTANCE, "path_instance", "x", 2 )
                            ^
./tree.def:79:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_NODE, "path_node", "x", 10)
                        ^
./tree.def:89:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CHECK_SPEC, "check_spec", "x", 8)
                         ^
./tree.def:98:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PLI_DELAY, "pli_delay", "x", 5 )
                        ^
./tree.def:106:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIMING_EVENT, "timing_event", "x", 3 )
                           ^
./tree.def:108:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIMING_CHECK, "timing_check", "x", 21 )
                           ^
./tree.def:109:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOTIFIER_NODE, "notifier", "x", 2 )
                            ^
./tree.def:110:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (UDP_STRING_NODE, "udp_string", "x", 9 )
                              ^
./tree.def:111:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (UDP_TABLE_NODE, "udp_table", "x", 10 )
                             ^
./tree.def:136:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MODULE_BLOCK, "module_block", "b", 8)
                           ^
./tree.def:140:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TASK_BLOCK, "task_block", "b", 2)
                         ^
./tree.def:141:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FUNCTION_BLOCK, "function_block", "b", 5)
                             ^
./tree.def:144:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NAMED_BLOCK, "named_block", "b", 0)
                          ^
./tree.def:147:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SUPER_BLOCK, "super_block", "b", 0)
                          ^
./tree.def:157:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INITIAL_BLOCK, "initial_block", "s", 1)
                            ^
./tree.def:158:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ALWAYS_BLOCK, "always_block", "s", 1)
                           ^
./tree.def:163:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NULL_STMT, "null_stmt", "s", 0)
                        ^
./tree.def:166:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DUMMY_RETURN_STMT, "dummy_return_stmt", "s", 0)
                                ^
./tree.def:169:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DUMP_STMT, "dump_stmt", "s", 0)
                        ^
./tree.def:172:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FINISH_STMT, "finish_stmt", "s", 0)
                          ^
./tree.def:176:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LABEL_STMT, "label_stmt", "s", 1)
                         ^
./tree.def:195:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_STMT, "assign_stmt", "s", 3)
                          ^
./tree.def:196:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_DELAY_STMT, "assign_delay_stmt", "s", 7)
                                ^
./tree.def:197:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_EVENT_STMT, "assign_delay_stmt", "s", 7)
                                ^
./tree.def:198:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_NONBLK_DELAY_STMT, "assign_delay_stmt", "s", 7)
                                       ^
./tree.def:199:40: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_NONBLK_EVENT_STMT, "assign_delay_stmt", "s", 9)
                                       ^
./tree.def:200:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_CONT_STMT, "assign_cont_stmt", "s", 5)
                               ^
./tree.def:205:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ASSIGN_PROC_STMT, "assign_proc_stmt", "s", 5)
                               ^
./tree.def:206:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORCE_STMT, "force_stmt", "s", 7)
                         ^
./tree.def:216:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (IF_STMT, "if_stmt", "s", 4)
                      ^
./tree.def:229:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASE_STMT, "case_stmt", "s", 4)
                        ^
./tree.def:230:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASEZ_STMT, "casez_stmt", "s", 4)
                         ^
./tree.def:231:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CASEX_STMT, "casex_stmt", "s", 4)
                         ^
./tree.def:235:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FOREVER_STMT, "forever_stmt", "s", 1)
                           ^
./tree.def:240:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REPEAT_INIT_STMT, "repeat_init_stmt", "s", 4)
                               ^
./tree.def:241:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REPEAT_STMT, "repeat_init_stmt", "s", 4)
                          ^
./tree.def:246:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (WHILE_STMT, "while_stmt", "s", 3)
                         ^
./tree.def:253:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FOR_STMT, "for_stmt", "s", 4)
                       ^
./tree.def:259:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (WAIT_STMT, "wait_stmt", "s", 4)
                        ^
./tree.def:262:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LOOPBACK_STMT, "loopback_stmt", "s", 1)
                            ^
./tree.def:268:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DELAY_STMT, "delay_stmt", "s", 3)
                         ^
./tree.def:273:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EVENT_STMT, "event_stmt", "s", 3)
                         ^
./tree.def:278:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARROW_STMT, "arrow_stmt", "s", 2)
                         ^
./tree.def:286:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BEGIN_STMT, "begin_stmt", "s", 1)
                         ^
./tree.def:287:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BEGIN_NAMED_STMT, "begin_named_stmt", "s", 3)
                               ^
./tree.def:288:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (END_STMT, "end_stmt", "s", 0)
                       ^
./tree.def:289:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (END_NAMED_STMT, "end_named_stmt", "s", 2)
                             ^
./tree.def:297:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORK_STMT, "fork_stmt", "s", 3)
                        ^
./tree.def:298:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FORK_NAMED_STMT, "fork_named_stmt", "s", 4)
                              ^
./tree.def:299:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (JOIN_STMT, "join_stmt", "s", 1)
                        ^
./tree.def:300:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (JOIN_NAMED_STMT, "join_named_stmt", "s", 2)
                              ^
./tree.def:307:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TASK_STMT, "task_stmt", "s", 5)
                        ^
./tree.def:308:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SYSTASK_STMT, "sys_task_stmt", "s", 10)
                           ^
./tree.def:309:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (FUNCTION_REF, "function_ref", "r", 7)
                           ^
./tree.def:310:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SYSFUNCTION_REF, "sysfunction_ref", "r", 12)
                              ^
./tree.def:312:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GATE_INSTANCE, "gate_instance", "g", 0)
                            ^
./tree.def:318:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_STMT, "disable_stmt", "s", 2)
                           ^
./tree.def:319:33: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_TASK_STMT, "disable_task_stmt", "s", 1)
                                ^
./tree.def:320:34: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DISABLE_BLOCK_STMT, "disable_block_stmt", "s", 1)
                                 ^
./tree.def:324:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DEASSIGN_STMT, "deassign_stmt", "s", 1)
                            ^
./tree.def:325:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RELEASE_STMT, "release_stmt", "s", 1)
                           ^
./tree.def:340:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INSTANCE_NODE, "instance_node", "s", 5)
                            ^
./tree.def:348:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INTEGER_CST, "integer_cst", "c", 2)
                          ^
./tree.def:351:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_CST, "real_cst", "c", 2)
                       ^
./tree.def:354:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_CST, "bit_cst", "c", 3)
                      ^
./tree.def:357:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (STRING_CST, "string_cst", "c", 3)
                         ^
./tree.def:376:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INTEGER_DECL, "integer_decl", "d", 0)
                           ^
./tree.def:379:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_DECL, "real_decl", "d", 0)
                        ^
./tree.def:382:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REG_SCALAR_DECL, "reg_scalar_decl", "d", 0)
                              ^
./tree.def:385:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TIME_DECL, "time_decl", "d", 0)
                        ^
./tree.def:388:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REG_VECTOR_DECL, "reg_vector_decl", "d", 0)
                              ^
./tree.def:391:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TMP_DECL, "tmp_decl", "d", 0)
                       ^
./tree.def:394:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PATH_CONSTRAINT,"path_constraint", "x", 19 )
                             ^
./tree.def:399:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NET_SCALAR_DECL, "net_scalar_decl", "d", 3)
                              ^
./tree.def:400:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NET_VECTOR_DECL, "net_vector_decl", "d", 3)
                              ^
./tree.def:407:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARRAY_DECL, "array_decl", "d", 5)
                         ^
./tree.def:417:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PORT_SCALAR_DECL, "port_scalar_decl", "d", 1)
                               ^
./tree.def:418:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PORT_VECTOR_DECL, "port_vector_decl", "d", 3)
                               ^
./tree.def:419:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARG_SCALAR_DECL, "arg_scalar_decl", "d", 1)
                              ^
./tree.def:420:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARG_VECTOR_DECL, "arg_vector_decl", "d", 3)
                              ^
./tree.def:430:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PARAM_DECL, "param_decl", "d", 3)
                         ^
./tree.def:432:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SPECPARAM_DECL, "specparam_decl", "d", 3)
                             ^
./tree.def:437:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EVENT_DECL, "event_decl", "d", 0)
                         ^
./tree.def:441:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RESULT_DECL, "result_decl", "d", 1)
                          ^
./tree.def:445:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BLOCK_DECL, "block_decl", "d", 0)
                         ^
./tree.def:450:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (HIERARCHICAL_REF, "hierarchical_ref", "r", 1)
                               ^
./tree.def:454:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ARRAY_REF, "array_ref", "r", 4)
                        ^
./tree.def:457:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_REF, "bit_ref", "r", 4)
                      ^
./tree.def:466:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PART_REF, "part_ref", "r", 9)
                       ^
./tree.def:468:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONCAT_REF, "concat_ref", "r", 2)
                         ^
./tree.def:469:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONCAT_REP_REF, "concat_rep_ref", "r", 4)
                             ^
./tree.def:473:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SHADOW_REF, "shadow_ref", "r", 0)
                         ^
./tree.def:477:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (SHADOW_LVAL_REF, "shadow_lval_ref", "r", 1)
                              ^
./tree.def:486:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RANGE_HANDLE, "range_handle", "e", 2)
                           ^
./tree.def:490:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (COMPOUND_EXPR, "compound_expr", "e", 2)
                            ^
./tree.def:494:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INIT_EXPR, "init_expr", "e", 2)
                        ^
./tree.def:500:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (COND_EXPR, "cond_expr", "e3", 6)
                        ^
./tree.def:504:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (ANYEDGE_EXPR, "anyedge_expr", "er", 2)
                           ^
./tree.def:505:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (POSEDGE_EXPR, "POSEDGE ", "er", 2)
                           ^
./tree.def:506:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NEGEDGE_EXPR, "NEGEDGE ", "er", 2)
                           ^
./tree.def:510:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (PLUS_EXPR, "+", "ex", 2)
                        ^
./tree.def:511:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MINUS_EXPR, "-", "ex", 2)
                         ^
./tree.def:512:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MULT_EXPR, "*", "ex", 2)
                        ^
./tree.def:519:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DIV_EXPR, "/", "ex", 2)
                       ^
./tree.def:522:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (MOD_EXPR, "%", "ex", 2)
                       ^
./tree.def:525:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NEGATE_EXPR, "-", "eu", 1)
                          ^
./tree.def:533:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LSHIFT_EXPR, "<<", "es", 3)
                          ^
./tree.def:534:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (RSHIFT_EXPR, ">>", "es", 3)
                          ^
./tree.def:539:27: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_OR_EXPR, "|", "ex", 2)
                          ^
./tree.def:540:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NOR_EXPR, "~|", "ex", 2)
                           ^
./tree.def:541:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_XOR_EXPR, "^", "ex", 2)
                           ^
./tree.def:542:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_XNOR_EXPR, "~^", "ex", 2)
                            ^
./tree.def:543:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_AND_EXPR, "&", "ex", 2)
                           ^
./tree.def:544:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NAND_EXPR, "~&", "ex", 2)
                            ^
./tree.def:546:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (BIT_NOT_EXPR, "~", "eu", 1)
                           ^
./tree.def:553:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_ANDIF_EXPR, "&&", "e1", 3)
                               ^
./tree.def:554:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_ORIF_EXPR, "||", "e1", 3)
                              ^
./tree.def:555:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_AND_EXPR, "&&", "e1", 2)
                             ^
./tree.def:556:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_OR_EXPR, "||", "e1", 2)
                            ^
./tree.def:557:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (TRUTH_NOT_EXPR, "!", "er", 1)
                             ^
./tree.def:565:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LT_EXPR, "<", "e1", 2)
                      ^
./tree.def:566:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (LE_EXPR, "<=", "e1", 2)
                      ^
./tree.def:567:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GT_EXPR, ">", "e1", 2)
                      ^
./tree.def:568:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (GE_EXPR, ">=", "e1", 2)
                      ^
./tree.def:569:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EQ_EXPR, "==", "e1", 2)
                      ^
./tree.def:570:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NE_EXPR, "!=", "e1", 2)
                      ^
./tree.def:571:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (EQ_CASE_EXPR, "===", "e1", 2)
                           ^
./tree.def:572:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NE_CASE_EXPR, "!==", "e1", 2)
                           ^
./tree.def:575:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (AND_REDUCE_EXPR, "&", "er", 1)
                              ^
./tree.def:576:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NAND_REDUCE_EXPR, "~&", "er", 1)
                               ^
./tree.def:577:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (OR_REDUCE_EXPR, "|", "er", 1)
                             ^
./tree.def:578:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOR_REDUCE_EXPR, "~|", "er", 1)
                              ^
./tree.def:579:31: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (XOR_REDUCE_EXPR, "^", "er", 1)
                              ^
./tree.def:580:32: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (XNOR_REDUCE_EXPR, "~^", "er", 1)
                               ^
./tree.def:587:26: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (DELAY_EXPR, "delay_expr", "e", 6)
                         ^
./tree.def:597:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (CONVERT_EXPR, "convert_expr", "e", 1)
                           ^
./tree.def:600:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (REAL_CONV_EXPR, "$itor ", "ec", 1)
                             ^
./tree.def:603:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (INT_CONV_EXPR, "$rtoi ", "ec", 1)
                            ^
./tree.def:606:24: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
DEFTREECODE (NOP_EXPR, "nop_expr", "ec", 1)
                       ^
tree.cc:828:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "event";
               ^
tree.cc:830:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "integer";
               ^
tree.cc:832:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "reg";
               ^
tree.cc:834:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "reg";
               ^
tree.cc:836:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "time";
               ^
tree.cc:838:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "real";
               ^
tree.cc:843:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "wire";
                   ^
tree.cc:845:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "tri";
                   ^
tree.cc:847:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "wand";
                   ^
tree.cc:849:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "triand";
                   ^
tree.cc:851:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "wor";
                   ^
tree.cc:853:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "trior";
                   ^
tree.cc:855:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "tri0";
                   ^
tree.cc:857:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "tri1";
                   ^
tree.cc:859:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "supply0";
                   ^
tree.cc:861:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return "supply1";
                   ^
tree.cc:826:13: warning: 136 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
    switch (code) {
            ^
tree.cc:826:13: note: add missing switch cases
    switch (code) {
            ^
tree.cc:864:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    return "";
           ^
tree.cc:882:17: warning: passing an object that undergoes default argument promotion to 'va_start' has undefined behavior [-Wvarargs]
    va_start(p, type);
                ^
tree.cc:875:48: note: parameter of type 'enum tree_type' is declared here
tree build(enum tree_code code, enum tree_type type, ...)
                                               ^
tree.cc:918:17: warning: passing an object that undergoes default argument promotion to 'va_start' has undefined behavior [-Wvarargs]
    va_start(p, code);
                ^
tree.cc:911:30: note: parameter of type 'enum tree_code' is declared here
tree build_nt(enum tree_code code, ...)
                             ^
tree.cc:1005:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' not declared", IDENT(t1), NULL_CHAR);
                  ^
tree.cc:1011:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' not declared as INPUT, OUTPUT, or INOUT",
                  ^
tree.cc:1049:17: warning: passing an object that undergoes default argument promotion to 'va_start' has undefined behavior [-Wvarargs]
    va_start(p, code);
                ^
tree.cc:1041:32: note: parameter of type 'enum tree_code' is declared here
tree build_stmt(enum tree_code code, ...)
                               ^
312 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT tree.lo -MD -MP -MF .deps/tree.Tpo -c tree.cc -o tree.o >/dev/null 2>&1
mv -f .deps/tree.Tpo .deps/tree.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT sdflex.lo -MD -MP -MF .deps/sdflex.Tpo -c -o sdflex.lo sdflex.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdflex.lo -MD -MP -MF .deps/sdflex.Tpo -c sdflex.cc  -fno-common -DPIC -o .libs/sdflex.o
In file included from sdflex.cc:30:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from sdflex.cc:31:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
sdflex.cc:45:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "delayfile", DELAYFILE,
    ^
sdflex.cc:46:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "sdfversion", SDFVERSION,
    ^
sdflex.cc:47:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "design", DESIGN,
    ^
sdflex.cc:48:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "date", DATE,
    ^
sdflex.cc:49:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "vendor", VENDOR,
    ^
sdflex.cc:50:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "program", PROGRAM,
    ^
sdflex.cc:51:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "version", VERSION_,
    ^
sdflex.cc:52:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "program", PROGRAM,
    ^
sdflex.cc:53:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "divider", DIVIDER,
    ^
sdflex.cc:54:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "voltage", VOLTAGE,
    ^
sdflex.cc:55:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "process", PROCESS,
    ^
sdflex.cc:56:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "temperature", TEMPERATURE,
    ^
sdflex.cc:57:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "timescale", TIMESCALE,
    ^
sdflex.cc:58:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "cell", CELL,
    ^
sdflex.cc:59:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "celltype", CELLTYPE,
    ^
sdflex.cc:60:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "instance", INSTANCE,
    ^
sdflex.cc:61:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "delay", DELAY,
    ^
sdflex.cc:62:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "timingcheck", TIMINGCHECK,
    ^
sdflex.cc:63:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "include", INCLUDE,
    ^
sdflex.cc:64:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "absolute", ABSOLUTE,
    ^
sdflex.cc:65:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "increment", INCREMENT,
    ^
sdflex.cc:66:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "userdef", USERDEF,
    ^
sdflex.cc:67:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "pathpulse", PATHPULSE,
    ^
sdflex.cc:68:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "globalpathpulse", GLOBALPATHPULSE,
    ^
sdflex.cc:69:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "iopath", IOPATH,
    ^
sdflex.cc:70:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "cond", COND,
    ^
sdflex.cc:71:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "port", PORT,
    ^
sdflex.cc:72:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "interconnect", INTERCONNECT,
    ^
sdflex.cc:73:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "netdelay", NETDELAY,
    ^
sdflex.cc:74:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "device", DEVICE,
    ^
sdflex.cc:75:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "setup", SETUP,
    ^
sdflex.cc:76:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "hold", HOLD,
    ^
sdflex.cc:77:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "setuphold", SETUPHOLD,
    ^
sdflex.cc:78:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "recovery", RECOVERY,
    ^
sdflex.cc:79:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "skew", SKEW,
    ^
sdflex.cc:80:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "width", WIDTH,
    ^
sdflex.cc:81:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "period", PERIOD,
    ^
sdflex.cc:82:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "nochange", NOCHANGE,
    ^
sdflex.cc:83:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "pathconstraint", PATHCONSTRAINT,
    ^
sdflex.cc:84:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "sum", SUM,
    ^
sdflex.cc:85:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "diff", DIFF,
    ^
sdflex.cc:86:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "skewconstraint", SKEWCONSTRAINT,
    ^
sdflex.cc:87:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "posedge", POSEDGE,
    ^
sdflex.cc:88:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "negedge", NEGEDGE,
    ^
sdflex.cc:89:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "ns", NANOSECOND,
    ^
sdflex.cc:90:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "us", MICROSECOND,
    ^
sdflex.cc:91:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "ps", PICOSECOND,
    ^
sdflex.cc:92:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "01", EDGE_01,
    ^
sdflex.cc:93:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "10", EDGE_10,
    ^
sdflex.cc:94:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "0z", EDGE_0z,
    ^
sdflex.cc:95:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "1z", EDGE_1z,
    ^
sdflex.cc:96:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "z0", EDGE_z0,
    ^
sdflex.cc:97:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    "z1", EDGE_z1,
    ^
sdflex.cc:324:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    newFile = shell_fopen(filename, "r");
                                    ^
sdflex.cc:326:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("could not open file '%s'", filename);
                 ^
sdflex.cc:344:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("SDF ERROR: %s L%ld, %s\n", filename, lineNumber, message);
              ^
sdflex.cc:345:63: warning: format specifies type 'long' but the argument has type 'int' [-Wformat]
    fprintf(sdfLogFile, "SDF ERROR: %s L%ld, %s\n", filename, lineNumber,
                                        ~~~                   ^~~~~~~~~~
                                        %d
sdflex.cc:401:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    sdf_error("Illegal scalar constant");
                              ^
sdflex.cc:572:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                sdf_error("unterminated string constant");
                          ^
sdflex.cc:582:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, c, "&&", ANDAND);
                               ^
sdflex.cc:584:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, LT, "<=", LE), "<<", LEFT_SHIFT);
                                         ^
sdflex.cc:584:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, LT, "<=", LE), "<<", LEFT_SHIFT);
                                                    ^
sdflex.cc:586:35: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, GT, ">=", GE), ">>", RIGHT_SHIFT);
                                         ^
sdflex.cc:586:46: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, match(0, GT, ">=", GE), ">>", RIGHT_SHIFT);
                                                    ^
sdflex.cc:589:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                           "~&", REDUCTION_NAND),
                                           ^
sdflex.cc:590:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                  "~|", REDUCTION_NOR), "~^", XNOR);
                                  ^
sdflex.cc:590:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                  "~|", REDUCTION_NOR), "~^", XNOR);
                                                        ^
sdflex.cc:592:25: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            return match(c, c, "^~", XNOR);
                               ^
sdflex.cc:601:37: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                return match(c, LOGICAL_EQUALITY, "==", CASE_EQUALITY);
                                                  ^
sdflex.cc:611:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                return match(c, LOGICAL_INEQUALITY, "==", CASE_INEQUALITY);
                                                    ^
sdflex.cc:624:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            sdf_error("illegal character");
                      ^
74 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdflex.lo -MD -MP -MF .deps/sdflex.Tpo -c sdflex.cc -o sdflex.o >/dev/null 2>&1
mv -f .deps/sdflex.Tpo .deps/sdflex.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT eval.lo -MD -MP -MF .deps/eval.Tpo -c -o eval.lo eval.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT eval.lo -MD -MP -MF .deps/eval.Tpo -c eval.cc  -fno-common -DPIC -o .libs/eval.o
In file included from eval.cc:27:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from eval.cc:36:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
eval.cc:84:15: warning: implicit conversion from 'unsigned long' to 'int' changes value from 18446744073709551584 to -32 [-Wconstant-conversion]
        x = ldexp(x, -(sizeof(Bit) * 8));
            ~~~~~    ^~~~~~~~~~~~~~~~~~
eval.cc:411:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_error_V("NULL pc in EVAL, aborting.\n");
                       ^
eval.cc:804:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    printf_error_V("Out of memory at function call (%s)\n",
                                   ^
eval.cc:962:27: warning: cast to 'tree_node *' from smaller integer type 'int' [-Wint-to-pointer-cast]
            TREE_OPERAND(t, 1) = (tree) tmpint;
                                 ^~~~~~~~~~~~~
eval.cc:978:27: warning: cast to 'tree_node *' from smaller integer type 'int' [-Wint-to-pointer-cast]
            TREE_OPERAND(t, 1) = (tree) tmpint;
                                 ^~~~~~~~~~~~~
eval.cc:1936:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_error_V("Unimplemented expression: %s\n",
                           ^
eval.cc:2204:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        fatal("Eval of unknown net type", NULL);
              ^
eval.cc:2276:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Index in '%s' resloves to 'z' or 'x'", ident, NULL_CHAR);
              ^
11 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT eval.lo -MD -MP -MF .deps/eval.Tpo -c eval.cc -o eval.o >/dev/null 2>&1
mv -f .deps/eval.Tpo .deps/eval.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT macro.lo -MD -MP -MF .deps/macro.Tpo -c -o macro.lo macro.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT macro.lo -MD -MP -MF .deps/macro.Tpo -c macro.cc  -fno-common -DPIC -o .libs/macro.o
In file included from macro.cc:26:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from macro.cc:30:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
macro.cc:118:42: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            include = File::fopen(include_name, "rt");
                                                ^
macro.cc:127:38: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        include = File::fopen(include_name, "rt");
                                            ^
macro.cc:130:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Could not open include file '%s'", &filename[1], NULL_CHAR);
              ^
macro.cc:132:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Compiling included source file '%s'\n", include_name);
                 ^
macro.cc:159:29: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    name = build_string(12, "__VERIWELL__");
                            ^
macro.cc:160:28: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    rest = build_string(0, "");
                           ^
macro.cc:196:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("Continuing compilation of source file '%s'\n",
                     ^
10 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT macro.lo -MD -MP -MF .deps/macro.Tpo -c macro.cc -o macro.o >/dev/null 2>&1
mv -f .deps/macro.Tpo .deps/macro.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT udp.lo -MD -MP -MF .deps/udp.Tpo -c -o udp.lo udp.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT udp.lo -MD -MP -MF .deps/udp.Tpo -c udp.cc  -fno-common -DPIC -o .libs/udp.o
In file included from udp.cc:34:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from udp.cc:40:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
udp.cc:122:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' is not defined as a scalar net", name,
                  ^
udp.cc:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' not declared as OUTPUT", name, NULL_CHAR);
                  ^
udp.cc:131:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Port '%s' not declared as INPUT", name, NULL_CHAR);
                  ^
udp.cc:139:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("too many ports for udp primitive '%s'",
              ^
udp.cc:295:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Bad table entry", NULL_CHAR, NULL_CHAR);
              ^
udp.cc:301:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Missing ':' in table entry", NULL_CHAR, NULL_CHAR);
                  ^
udp.cc:310:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Missing ':' in table entry", NULL_CHAR, NULL_CHAR);
                  ^
udp.cc:329:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Edge specifications are not allowed in sequential upd's",
              ^
udp.cc:333:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("Only one edge specification is allowed per table entry",
              ^
udp.cc:341:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("illegal character in input portion of table",
                  ^
udp.cc:350:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("illegal character in current value portion of table",
              ^
udp.cc:358:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("illegal character in output portion of table",
              ^
udp.cc:598:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("level vs level table entry conflict", NULL_CHAR,
                          ^
udp.cc:606:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        error("edge vs edge table entry conflict",
                              ^
udp.cc:639:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "00", rest,
                                                          ^
udp.cc:641:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "11", rest,
                                                          ^
udp.cc:646:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "00", rest,
                                                          ^
udp.cc:648:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "11", rest,
                                                          ^
udp.cc:650:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "xx", rest,
                                                          ^
udp.cc:654:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "xx", rest,
                                                          ^
udp.cc:656:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "11", rest,
                                                          ^
udp.cc:660:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "xx", rest,
                                                          ^
udp.cc:662:52: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        set_udp_table_entry(table, position, state, last, "00", rest,
                                                          ^
26 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT udp.lo -MD -MP -MF .deps/udp.Tpo -c udp.cc -o udp.o >/dev/null 2>&1
mv -f .deps/udp.Tpo .deps/udp.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT exec.lo -MD -MP -MF .deps/exec.Tpo -c -o exec.lo exec.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT exec.lo -MD -MP -MF .deps/exec.Tpo -c exec.cc  -fno-common -DPIC -o .libs/exec.o
In file included from exec.cc:28:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from exec.cc:34:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
exec.cc:128:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("SIMULATION TIME IS ");
                 ^
exec.cc:130:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
exec.cc:212:10: warning: 137 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(current_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:212:10: note: add missing switch cases
        switch (TREE_CODE(current_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:572:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                fatal("Caught it!", NULL_CHAR);
                      ^
exec.cc:730:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        fatal("Bad CASE Exec", NULL_CHAR);
                              ^
exec.cc:1026:12: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
                        switch (TREE_CODE(task)) {
                                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1026:12: note: add missing switch cases
                        switch (TREE_CODE(task)) {
                                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1058:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_error_V("Attempt to execute NULL instruction!\n");
                           ^
exec.cc:1063:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_error_V("Unimplemented instruction: %s\n",
                           ^
exec.cc:1096:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("** Triggering Continuous Assignment(force=%d):\n", force);
                 ^
exec.cc:1158:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    sorry("Command history not supported in this version");
          ^
exec.cc:1163:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    sorry("Command history not supported in this version");
          ^
exec.cc:1217:10: warning: 132 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(current_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1217:10: note: add missing switch cases
        switch (TREE_CODE(current_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1237:10: warning: 135 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
        switch (TREE_CODE(next_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1237:10: note: add missing switch cases
        switch (TREE_CODE(next_pc)) {
                ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
exec.cc:1259:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("Interrupt at time ");
                 ^
exec.cc:1261:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n");
                 ^
exec.cc:1298:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        printf_error_V("lines in input file must be"
                                       ^
exec.cc:1308:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V_log("%s", prompt);
                             ^
exec.cc:1309:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V_key("%s\n", line);
                             ^
exec.cc:1370:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("Current position: line %d\n",
                     ^
exec.cc:1407:11: warning: comparison of array 'cmd' equal to a null pointer is always false [-Wtautological-pointer-compare]
                    if (cmd == NULL) {
                        ^~~    ~~~~
exec.cc:1475:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    printf_V("> ");
             ^
24 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT exec.lo -MD -MP -MF .deps/exec.Tpo -c exec.cc -o exec.o >/dev/null 2>&1
mv -f .deps/exec.Tpo .deps/exec.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT specify.lo -MD -MP -MF .deps/specify.Tpo -c -o specify.lo specify.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT specify.lo -MD -MP -MF .deps/specify.Tpo -c specify.cc  -fno-common -DPIC -o .libs/specify.o
In file included from specify.cc:32:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
In file included from specify.cc:47:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
specify.cc:109:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not in the port list", IDENT(identifier),
              ^
specify.cc:114:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not an input or inout port", IDENT(identifier),
              ^
specify.cc:136:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not in the port list", IDENT(identifier),
              ^
specify.cc:141:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("'%s' is not an output or inout port", IDENT(identifier),
              ^
specify.cc:160:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Edge sensitive paths are not supported in this version",
                ^
specify.cc:164:4: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                ("Multiple inputs are not allowed for edge sensitive paths",
                 ^
specify.cc:168:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Level sensitive paths are not supported in this version",
                ^
specify.cc:171:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Polarized paths are not supported in this version",
                ^
specify.cc:174:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Parallel paths are not supported in this version",
                ^
specify.cc:338:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("specify path for net %s does not connect to a gate primitive",
                         ^
specify.cc:343:13: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error("net %s has more than one driver",
                          ^
specify.cc:351:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("specify path for net %s does not connect to a gate primitive",
                         ^
specify.cc:384:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("specify paths cannot be assigned to bidirectional switch\n",
                         ^
specify.cc:391:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("specify paths cannot be assigned to pullups or pulldowns\n",
                         ^
specify.cc:400:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        ("specify paths cannot be assigned to buf/not gates driving multiple outputs\n",
                         ^
specify.cc:728:14: warning: 142 enumeration values not handled in switch: 'ERROR_MARK', 'IDENTIFIER_NODE', 'TREE_LIST'... [-Wswitch]
            switch (TREE_CODE(dependency)) {
                    ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
specify.cc:728:14: note: add missing switch cases
            switch (TREE_CODE(dependency)) {
                    ^
./tree.h:228:25: note: expanded from macro 'TREE_CODE'
#define TREE_CODE(NODE) ((enum tree_code) (NODE)->common.code)
                        ^
specify.cc:1196:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("the condition clause must be scalar", NULL_CHAR,
                  ^
specify.cc:1205:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("the condition clause must be scalar", NULL_CHAR,
                  ^
specify.cc:1240:8: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        error("%s must be a single bit register", IDENT(ident), NULL_CHAR);
              ^
specify.cc:1292:22: warning: result of comparison of constant 278 with expression of type 'enum tree_code' is always false [-Wtautological-constant-out-of-range-compare]
    if (TREE_CODE(t) == IDENTIFIER) {
        ~~~~~~~~~~~~ ^  ~~~~~~~~~~
specify.cc:1318:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("expecting a single bit constant", NULL_CHAR, NULL_CHAR);
                  ^
specify.cc:1323:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("expecting a single bit constant", NULL_CHAR, NULL_CHAR);
                  ^
specify.cc:1383:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "setup";
               ^
specify.cc:1387:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "hold";
               ^
specify.cc:1391:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "width";
               ^
specify.cc:1395:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "period";
               ^
specify.cc:1399:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "skew";
               ^
specify.cc:1403:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "recovery";
               ^
specify.cc:1407:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        name = "setuphold";
               ^
specify.cc:1416:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("  \"%s\", %d: Timing violation in ",
                 ^
specify.cc:1419:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("\n$%s( ", name);
                 ^
specify.cc:1425:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(":");
                 ^
specify.cc:1434:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(", ");
                 ^
specify.cc:1442:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(":");
                 ^
specify.cc:1450:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(", ");
                     ^
specify.cc:1452:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(":%d", TIMING_CHECK_PARAM1(node));
                     ^
specify.cc:1455:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(", ");
                     ^
specify.cc:1457:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V(":%d", TIMING_CHECK_PARAM2(node));
                     ^
specify.cc:1460:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(" );\n");
                 ^
specify.cc:1738:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(posedge ");
                 ^
specify.cc:1740:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
specify.cc:1742:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("(negedge ");
                 ^
specify.cc:1744:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
specify.cc:1749:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("edge[");
                 ^
specify.cc:1752:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1754:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("0x");
                     ^
specify.cc:1759:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1761:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("01");
                     ^
specify.cc:1766:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1768:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("x1");
                     ^
specify.cc:1773:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1775:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("x0");
                     ^
specify.cc:1780:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1782:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("10");
                     ^
specify.cc:1787:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                printf_V(",");
                         ^
specify.cc:1789:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            printf_V("1x");
                     ^
specify.cc:1792:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("] ");
                 ^
specify.cc:1796:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V("&&&(");
                 ^
specify.cc:1798:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        printf_V(")");
                 ^
62 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT specify.lo -MD -MP -MF .deps/specify.Tpo -c specify.cc -o specify.o >/dev/null 2>&1
mv -f .deps/specify.Tpo .deps/specify.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT plihacks.lo -MD -MP -MF .deps/plihacks.Tpo -c -o plihacks.lo plihacks.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT plihacks.lo -MD -MP -MF .deps/plihacks.Tpo -c plihacks.cc  -fno-common -DPIC -o .libs/plihacks.o
In file included from plihacks.cc:33:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
plihacks.cc:107:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("    ");
                  ^
plihacks.cc:135:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:139:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- condition is present\n");
                  ^
plihacks.cc:141:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- condition is not present\n");
                  ^
plihacks.cc:145:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- polarity is ");
              ^
plihacks.cc:148:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accPositive");
                  ^
plihacks.cc:151:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accNegative");
                  ^
plihacks.cc:154:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accUnknown");
                  ^
plihacks.cc:157:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown");
                  ^
plihacks.cc:159:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:163:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay1 = %g\n", d1);
              ^
plihacks.cc:165:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay2 = %g\n", d2);
              ^
plihacks.cc:167:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay3 = %g\n", d3);
              ^
plihacks.cc:169:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay4 = %g\n", d4);
              ^
plihacks.cc:171:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay5 = %g\n", d5);
              ^
plihacks.cc:173:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay6 = %g\n", d6);
              ^
plihacks.cc:176:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_pathin()\n");
              ^
plihacks.cc:181:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_pathout()\n");
              ^
plihacks.cc:189:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("acc_handle_modpath() test failure");
                 ^
plihacks.cc:193:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_output()\n");
              ^
plihacks.cc:203:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_input()\n");
              ^
plihacks.cc:239:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:244:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay1 = %g\n", d1);
              ^
plihacks.cc:259:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("ERROR: acc_handle_tchk() test failure");
                 ^
plihacks.cc:264:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- arg 1 is %s\n", acc_fetch_name(arg1));
              ^
plihacks.cc:271:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- arg 2 is %s\n", acc_fetch_name(arg2));
              ^
plihacks.cc:295:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:299:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is type ");
              ^
plihacks.cc:302:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accIntegerParam");
                  ^
plihacks.cc:305:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accRealParam");
                  ^
plihacks.cc:308:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accStringParam");
                  ^
plihacks.cc:311:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown");
                  ^
plihacks.cc:313:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:318:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- value is %d\n", (int) acc_fetch_paramval(object));
                  ^
plihacks.cc:321:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- value is %f\n", acc_fetch_paramval(object));
                  ^
plihacks.cc:325:5: warning: cast to 'char *' from smaller integer type 'int' [-Wint-to-pointer-cast]
                  (char *) (int) acc_fetch_paramval(object));
                  ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
plihacks.cc:324:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- value is %s\n",
                  ^
plihacks.cc:347:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:352:55: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
                                                             ^
plihacks.cc:352:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
                  ^
plihacks.cc:354:55: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
                                                             ^
plihacks.cc:354:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
                  ^
plihacks.cc:356:55: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
                                                             ^
plihacks.cc:356:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
                  ^
plihacks.cc:358:55: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
                                                             ^
plihacks.cc:358:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
                  ^
plihacks.cc:381:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:384:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is ");
              ^
plihacks.cc:386:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accScalar, ");
                  ^
plihacks.cc:389:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accVector, ");
                  ^
plihacks.cc:391:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:396:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- range is [%d:%d]\n", msb, lsb);
                  ^
plihacks.cc:400:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- size is %d\n", acc_fetch_size(object));
              ^
plihacks.cc:403:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
                                                         ^
plihacks.cc:403:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
              ^
plihacks.cc:405:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
                                                         ^
plihacks.cc:405:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
              ^
plihacks.cc:407:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
                                                         ^
plihacks.cc:407:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
              ^
plihacks.cc:409:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
                                                         ^
plihacks.cc:409:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
              ^
plihacks.cc:440:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:443:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is ");
              ^
plihacks.cc:445:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accScalar, ");
                  ^
plihacks.cc:448:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accVector, ");
                  ^
plihacks.cc:451:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accCollapsedNet, ");
                  ^
plihacks.cc:454:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accExpandedVector, ");
                  ^
plihacks.cc:457:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accUnexpandedVector, ");
                  ^
plihacks.cc:460:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accScope, ");
                  ^
plihacks.cc:463:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accModPathHasIfnone, ");
                  ^
plihacks.cc:465:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:470:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- range is [%d:%d]\n", msb, lsb);
                  ^
plihacks.cc:474:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- size is %d\n", acc_fetch_size(object));
              ^
plihacks.cc:477:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
                                                         ^
plihacks.cc:477:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(b) = %s\n", acc_fetch_value(object, "%b"));
              ^
plihacks.cc:479:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
                                                         ^
plihacks.cc:479:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(o) = %s\n", acc_fetch_value(object, "%o"));
              ^
plihacks.cc:481:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
                                                         ^
plihacks.cc:481:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(h) = %s\n", acc_fetch_value(object, "%h"));
              ^
plihacks.cc:483:58: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
                                                         ^
plihacks.cc:483:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("value(d) = %s\n", acc_fetch_value(object, "%d"));
              ^
plihacks.cc:487:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_simulated_net()\n");
              ^
plihacks.cc:491:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_driver()\n");
              ^
plihacks.cc:502:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_load()\n");
              ^
plihacks.cc:513:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_cell_load()\n");
              ^
plihacks.cc:547:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- defname %s\n", acc_fetch_defname(object));
              ^
plihacks.cc:551:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:554:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_terminal()\n");
              ^
plihacks.cc:566:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- rising = %g\n", d1);
              ^
plihacks.cc:568:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- falling = %g\n", d2);
              ^
plihacks.cc:570:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- z = %g\n", d3);
              ^
plihacks.cc:583:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("Executing: %s[%d]\n", file, line);
              ^
plihacks.cc:597:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 s";
               ^
plihacks.cc:599:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 s";
               ^
plihacks.cc:601:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 s";
               ^
plihacks.cc:603:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 ms";
               ^
plihacks.cc:605:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 ms";
               ^
plihacks.cc:607:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 ms";
               ^
plihacks.cc:609:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 us";
               ^
plihacks.cc:611:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 us";
               ^
plihacks.cc:613:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 us";
               ^
plihacks.cc:615:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 ns";
               ^
plihacks.cc:617:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 ns";
               ^
plihacks.cc:619:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 ns";
               ^
plihacks.cc:621:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 ps";
               ^
plihacks.cc:623:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 ps";
               ^
plihacks.cc:625:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 ps";
               ^
plihacks.cc:627:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "100 fs";
               ^
plihacks.cc:629:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "10 fs";
               ^
plihacks.cc:631:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "1 fs";
               ^
plihacks.cc:633:9: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        return "unknown";
               ^
plihacks.cc:653:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:657:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- index is %d\n", index);
              ^
plihacks.cc:659:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("acc_handle_terminal() test failure\n");
                 ^
plihacks.cc:663:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- direction is ");
              ^
plihacks.cc:666:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accInput");
                  ^
plihacks.cc:669:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accOutput");
                  ^
plihacks.cc:672:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accInout");
                  ^
plihacks.cc:675:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accMixedIo");
                  ^
plihacks.cc:678:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown");
                  ^
plihacks.cc:680:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:684:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_conn()\n");
              ^
plihacks.cc:709:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:712:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- edge is ");
              ^
plihacks.cc:716:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accNoedge");
                  ^
plihacks.cc:719:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accPosedge");
                  ^
plihacks.cc:722:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accNegedge");
                  ^
plihacks.cc:725:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("[");
                  ^
plihacks.cc:727:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge01,");
                      ^
plihacks.cc:730:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge10,");
                      ^
plihacks.cc:733:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge0x,");
                      ^
plihacks.cc:736:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdgex0,");
                      ^
plihacks.cc:739:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdgex1,");
                      ^
plihacks.cc:742:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge1x,");
                      ^
plihacks.cc:744:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("]");
                  ^
plihacks.cc:746:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:751:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- has condition\n");
                  ^
plihacks.cc:755:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_conn()\n");
              ^
plihacks.cc:780:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:783:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- edge is ");
              ^
plihacks.cc:787:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accNoedge");
                  ^
plihacks.cc:790:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accPosedge");
                  ^
plihacks.cc:793:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accNegedge");
                  ^
plihacks.cc:796:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("[");
                  ^
plihacks.cc:798:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge01,");
                      ^
plihacks.cc:801:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge10,");
                      ^
plihacks.cc:804:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge0x,");
                      ^
plihacks.cc:807:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdgex0,");
                      ^
plihacks.cc:810:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdgex1,");
                      ^
plihacks.cc:813:16: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            io_printf("accEdge1x,");
                      ^
plihacks.cc:815:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("]");
                  ^
plihacks.cc:817:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:820:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_conn()\n");
              ^
plihacks.cc:844:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is child of %s\n", acc_fetch_fullname(parent));
              ^
plihacks.cc:848:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- index is %d\n", index);
              ^
plihacks.cc:850:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        tf_error("acc_handle_port() test failure\n");
                 ^
plihacks.cc:854:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- direction is ");
              ^
plihacks.cc:857:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accInput");
                  ^
plihacks.cc:860:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accOutput");
                  ^
plihacks.cc:863:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accInout");
                  ^
plihacks.cc:866:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accMixedIo");
                  ^
plihacks.cc:869:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown");
                  ^
plihacks.cc:871:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:874:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_handle_loconn()\n");
              ^
plihacks.cc:917:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- defname is %s\n", acc_fetch_defname(object));
              ^
plihacks.cc:921:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- location is %s[%d]\n", loc.filename, loc.line_no);
              ^
plihacks.cc:924:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is of type %s[%s]\n",
              ^
plihacks.cc:931:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", acc_fetch_fullname(parent));
                  ^
plihacks.cc:933:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", "<null>");
                  ^
plihacks.cc:942:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_child()\n");
              ^
plihacks.cc:954:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_cell()\n");
              ^
plihacks.cc:968:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_net()\n");
              ^
plihacks.cc:980:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_parameter()\n");
              ^
plihacks.cc:993:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_port()\n");
              ^
plihacks.cc:1006:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_portout()\n");
              ^
plihacks.cc:1019:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_primitive()\n");
              ^
plihacks.cc:1032:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_scope()\n");
              ^
plihacks.cc:1046:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next()\n");
              ^
plihacks.cc:1087:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- defname is %s\n", acc_fetch_defname(object));
              ^
plihacks.cc:1091:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- location is %s[%d]\n", loc.filename, loc.line_no);
              ^
plihacks.cc:1094:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is of type %s[%s]\n",
              ^
plihacks.cc:1101:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", acc_fetch_fullname(parent));
                  ^
plihacks.cc:1103:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", "<null>");
                  ^
plihacks.cc:1110:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_child()\n");
              ^
plihacks.cc:1122:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_cell()\n");
              ^
plihacks.cc:1135:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_net()\n");
              ^
plihacks.cc:1147:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_parameter()\n");
              ^
plihacks.cc:1160:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_port()\n");
              ^
plihacks.cc:1173:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_portout()\n");
              ^
plihacks.cc:1186:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_primitive()\n");
              ^
plihacks.cc:1199:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_scope()\n");
              ^
plihacks.cc:1212:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next()\n");
              ^
plihacks.cc:1253:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- defname is %s\n", acc_fetch_defname(object));
              ^
plihacks.cc:1257:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- location is %s[%d]\n", loc.filename, loc.line_no);
              ^
plihacks.cc:1260:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- is of type %s[%s]\n",
              ^
plihacks.cc:1267:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", acc_fetch_fullname(parent));
                  ^
plihacks.cc:1269:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("- is child of %s\n", "<null>");
                  ^
plihacks.cc:1273:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- delay mode is ");
              ^
plihacks.cc:1277:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModeNone");
                  ^
plihacks.cc:1280:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModeZero");
                  ^
plihacks.cc:1283:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModeUnit");
                  ^
plihacks.cc:1286:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModeDistrib");
                  ^
plihacks.cc:1289:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModePath");
                  ^
plihacks.cc:1292:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("accDelayModeMTM");
                  ^
plihacks.cc:1295:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown");
                  ^
plihacks.cc:1298:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("\n");
              ^
plihacks.cc:1302:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("- timescale is %s/%s\n",
              ^
plihacks.cc:1311:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_child()\n");
              ^
plihacks.cc:1323:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_cell()\n");
              ^
plihacks.cc:1335:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_modpath()\n");
              ^
plihacks.cc:1348:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_net()\n");
              ^
plihacks.cc:1360:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_parameter()\n");
              ^
plihacks.cc:1373:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_port()\n");
              ^
plihacks.cc:1386:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_portout()\n");
              ^
plihacks.cc:1399:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_primitive()\n");
              ^
plihacks.cc:1412:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_scope()\n");
              ^
plihacks.cc:1424:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_specparam()\n");
              ^
plihacks.cc:1437:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next_tchk()\n");
              ^
plihacks.cc:1449:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("=> acc_next()\n");
              ^
plihacks.cc:1481:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    io_printf("%s[%s] is %s<%s>\n", acc_fetch_name(object),
              ^
plihacks.cc:1546:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("Object of type %s, not yet supported\n",
                  ^
plihacks.cc:1568:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "calltf");
                  ^
plihacks.cc:1572:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "checktf");
                  ^
plihacks.cc:1575:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "sizetf");
                  ^
plihacks.cc:1581:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "disable");
                  ^
plihacks.cc:1587:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "paramvc");
                  ^
plihacks.cc:1593:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "synch");
                  ^
plihacks.cc:1599:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "finish");
                  ^
plihacks.cc:1605:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "reactivate");
                  ^
plihacks.cc:1611:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "rosynch");
                  ^
plihacks.cc:1617:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "paramdrc");
                  ^
plihacks.cc:1623:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "interactive");
                  ^
plihacks.cc:1629:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "endofcompile");
                  ^
plihacks.cc:1638:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "force");
                  ^
plihacks.cc:1644:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "release");
                  ^
plihacks.cc:1650:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("reason type %s\n", "scope");
                  ^
plihacks.cc:1656:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        io_printf("unknown reason type %d\n", reason);
                  ^
plihacks.cc:1706:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            (" Error: $$setvalue( \"mode\", decl, \"value\", \"format\", delay );");
             ^
239 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT plihacks.lo -MD -MP -MF .deps/plihacks.Tpo -c plihacks.cc -o plihacks.o >/dev/null 2>&1
mv -f .deps/plihacks.Tpo .deps/plihacks.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT random.lo -MD -MP -MF .deps/random.Tpo -c -o random.lo random.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT random.lo -MD -MP -MF .deps/random.Tpo -c random.cc  -fno-common -DPIC -o .libs/random.o
random.cc:62:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Chi_square distribution must have positive degree of freedom\n",0,0);
                ^
random.cc:91:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("k-stage erlangian distribution must have positive k\n",0,0);
                ^
random.cc:120:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Exponential distribution must have a positive mean\n",0,0);
                ^
random.cc:159:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("Poisson distribution must have a positive mean\n",0,0);
                ^
random.cc:188:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        warning("t distribution must have positive degree of freedom\n",0,0);
                ^
random.cc:414:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:419:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:425:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:460:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:465:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:471:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:506:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:511:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:517:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:551:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:556:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:562:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:596:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:601:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:607:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:641:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:646:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:652:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
random.cc:687:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal number of arguments to %s", name);
                         ^
random.cc:692:23: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    tf_error("illegal argument #%d to %s", i, name);
                             ^
random.cc:698:19: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                tf_error("illegal argument 0 to %s", name);
                         ^
26 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT random.lo -MD -MP -MF .deps/random.Tpo -c random.cc -o random.o >/dev/null 2>&1
mv -f .deps/random.Tpo .deps/random.Plo
clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT defaultveriuser.o -MD -MP -MF .deps/defaultveriuser.Tpo -c -o defaultveriuser.o defaultveriuser.cc
defaultveriuser.cc:46:30: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
char *veriuser_version_str = ""
                             ^
defaultveriuser.cc:61:69: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordvars, 0, lxt_recordvars, lxt_recordvars, "$lxt_recordvars" },
                                                                    ^
defaultveriuser.cc:62:63: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordon, 0, lxt_recordon, lxt_recordon, "$lxt_recordon" },
                                                              ^
defaultveriuser.cc:63:66: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordoff, 0, lxt_recordoff, lxt_recordoff, "$lxt_recordoff" },
                                                                 ^
defaultveriuser.cc:64:72: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordclose, 0, lxt_recordclose, lxt_recordclose, "$lxt_recordclose" },
                                                                       ^
defaultveriuser.cc:65:69: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordfile, 0, lxt_recordfile, lxt_recordfile, "$lxt_recordfile" },
                                                                    ^
defaultveriuser.cc:66:72: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt_recordsetup, 0, lxt_recordsetup, lxt_recordsetup, "$lxt_recordsetup" },
                                                                       ^
defaultveriuser.cc:69:72: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordvars, 0, lxt2_recordvars, lxt2_recordvars, "$lxt2_recordvars" },
                                                                       ^
defaultveriuser.cc:70:66: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordon, 0, lxt2_recordon, lxt2_recordon, "$lxt2_recordon" },
                                                                 ^
defaultveriuser.cc:71:69: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordoff, 0, lxt2_recordoff, lxt2_recordoff, "$lxt2_recordoff" },
                                                                    ^
defaultveriuser.cc:72:75: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordclose, 0, lxt2_recordclose, lxt2_recordclose, "$lxt2_recordclose" },
                                                                          ^
defaultveriuser.cc:73:72: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordfile, 0, lxt2_recordfile, lxt2_recordfile, "$lxt2_recordfile" },
                                                                       ^
defaultveriuser.cc:74:75: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  { usertask, 0, lxt2_recordsetup, 0, lxt2_recordsetup, lxt2_recordsetup, "$lxt2_recordsetup" },
                                                                          ^
13 warnings generated.
mv -f .deps/defaultveriuser.Tpo .deps/defaultveriuser.Po
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT parse.lo -MD -MP -MF .deps/parse.Tpo -c -o parse.lo parse.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT parse.lo -MD -MP -MF .deps/parse.Tpo -c parse.cc  -fno-common -DPIC -o .libs/parse.o
In file included from parse.yy:32:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
In file included from parse.yy:34:
./tree.h:22:1: warning: '/*' within block comment [-Wcomment]
/* This is here as a placeholder since not all modules that include
^
./tree.h:1209:34: warning: '/*' within block comment [-Wcomment]
/*    enum systask_type systask;        /* system task type, if internal */
                                        ^
parse.yy:543:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  sorry ("Port concatenations not supported in this version");
                         ^
parse.yy:653:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        error( "only the first declared port in a udp can be a reg",
                               ^
parse.yy:667:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        error( "initial statement is no allowed in combinatorial udp's",
                               ^
parse.yy:670:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        error( "initial statement does not reference port output",
                               ^
parse.yy:769:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Task definition");
                   ^
parse.yy:898:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { function_error;
      ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:906:7: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { function_error;
      ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:935:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    syn_warning ("Integer Range");
                                 ^
parse.yy:939:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("TIME");
                   ^
parse.yy:951:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("EVENT");
                   ^
parse.yy:985:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("TRIREG net");
                   ^
parse.yy:986:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  sorry ("TRIREG net type is not supported in this version");
                         ^
parse.yy:995:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("SUPPLY0 net"); }
                   ^
parse.yy:997:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("SUPPLY1 net"); }
                   ^
parse.yy:1010:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { sorry ("SCALARED keyword not supported; all nets treated as vectored");
             ^
parse.yy:1015:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { sorry ("VECTORED keyword is not supported; all nets treated as vectored");
             ^
parse.yy:1086:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("DEFPARAM"); }
                   ^
parse.yy:1121:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("REG/INTEGER arrays");
                               ^
parse.yy:1155:10: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
warning ("Strength specification is not supported and is ignored", NULL_CHAR, NULL_CHAR);
         ^
parse.yy:1225:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Buffer gate"); }
                   ^
parse.yy:1227:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Bufif gate"); }
                   ^
parse.yy:1229:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("CMOS gate"); }
                   ^
parse.yy:1231:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("PULL gate"); }
                   ^
parse.yy:1233:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("TRAN gate"); }
                   ^
parse.yy:1452:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("INITIAL"); }
                               ^
parse.yy:1489:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("FOREVER"); }
                               ^
parse.yy:1495:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("REPEAT"); }
                               ^
parse.yy:1504:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("WHILE"); }
                               ^
parse.yy:1520:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("delay control");
                               ^
parse.yy:1521:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1528:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1537:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1548:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1558:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1569:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1580:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1596:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("WAIT");
                               ^
parse.yy:1597:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1604:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("Event trigger");
                               ^
parse.yy:1605:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1634:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("FORCE");
                   ^
parse.yy:1643:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("RELEASE");
                   ^
parse.yy:1697:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error ("More than one 'DEFAULT' within CASE", NULL_CHAR, NULL_CHAR);
                           ^
parse.yy:1702:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error ("More than one 'DEFAULT' within CASE", NULL_CHAR, NULL_CHAR);
                           ^
parse.yy:1833:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("task enable");
                   ^
parse.yy:1834:5: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  function_error;
                  ^
parse.yy:52:11: note: expanded from macro 'function_error'
          error ("Statement not allowed in a function", NULL_CHAR, NULL_CHAR)
                 ^
parse.yy:1839:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("task enable");
                   ^
parse.yy:2349:14: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                    error ("Illegal non-constant expression", NULL_CHAR, NULL_CHAR);
                           ^
parse.yy:2407:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Division operator"); }
                   ^
parse.yy:2411:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Modulus operator"); }
                   ^
parse.yy:2419:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Case equality"); }
                   ^
parse.yy:2423:20: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { syn_warning ("Case inequality"); }
                   ^
parse.yy:2553:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                  syn_warning ("Hierachical name");
                               ^
parse.yy:2566:39: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { (yyval.ttype) = get_identifier ("or"); }
                                      ^
parse.cc:5409:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            yyerror ("syntax error; also virtual memory exhausted");
                     ^
parse.cc:5413:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        yyerror ("syntax error");
                 ^
parse.cc:5534:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  yyerror ("parser stack overflow");
           ^
60 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT parse.lo -MD -MP -MF .deps/parse.Tpo -c parse.cc -o parse.o >/dev/null 2>&1
mv -f .deps/parse.Tpo .deps/parse.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT sdfparse.lo -MD -MP -MF .deps/sdfparse.Tpo -c -o sdfparse.lo sdfparse.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfparse.lo -MD -MP -MF .deps/sdfparse.Tpo -c sdfparse.cc  -fno-common -DPIC -o .libs/sdfparse.o
In file included from sdfparse.yy:29:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
sdfparse.yy:323:17: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                        sdf_error( "Timescale multiplier must be either 1,10, or 100" );
                                                   ^
sdfparse.yy:366:18: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                        findInstance( "*" );
                                      ^
sdfparse.yy:915:21: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
    { (yyval.ptr) = ""; }
                    ^
sdfparse.cc:2499:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            yyerror ("syntax error; also virtual memory exhausted");
                     ^
sdfparse.cc:2503:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        yyerror ("syntax error");
                 ^
sdfparse.cc:2624:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  yyerror ("parser stack overflow");
           ^
7 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfparse.lo -MD -MP -MF .deps/sdfparse.Tpo -c sdfparse.cc -o sdfparse.o >/dev/null 2>&1
mv -f .deps/sdfparse.Tpo .deps/sdfparse.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=compile clang++ -DHAVE_CONFIG_H -I. -I..    -I/opt/pkg/include  -pipe -Os -I/opt/pkg/include -MT sdfcpars.lo -MD -MP -MF .deps/sdfcpars.Tpo -c -o sdfcpars.lo sdfcpars.cc
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfcpars.lo -MD -MP -MF .deps/sdfcpars.Tpo -c sdfcpars.cc  -fno-common -DPIC -o .libs/sdfcpars.o
In file included from sdfcpars.yy:29:
./glue.h:127:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            error("Internal error", NULL, NULL);
                  ^
sdfcpars.yy:205:22: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
                                sdfconfig_error( "illegal construct mapping" );
                                                 ^
sdfcpars.cc:1595:15: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
            yyerror ("syntax error; also virtual memory exhausted");
                     ^
sdfcpars.cc:1599:11: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
        yyerror ("syntax error");
                 ^
sdfcpars.cc:1720:12: warning: conversion from string literal to 'char *' is deprecated [-Wc++11-compat-deprecated-writable-strings]
  yyerror ("parser stack overflow");
           ^
5 warnings generated.
 clang++ -DHAVE_CONFIG_H -I. -I.. -I/opt/pkg/include -pipe -Os -I/opt/pkg/include -MT sdfcpars.lo -MD -MP -MF .deps/sdfcpars.Tpo -c sdfcpars.cc -o sdfcpars.o >/dev/null 2>&1
mv -f .deps/sdfcpars.Tpo .deps/sdfcpars.Plo
/opt/pkg/bin/mksh ../libtool --tag=CXX    --mode=link clang++   -pipe -Os -I/opt/pkg/include -version-info 0:0:0 -L/opt/pkg/lib -o libveriwell.la -rpath /opt/pkg/lib parse.lo sdfparse.lo sdfcpars.lo  multdiv.lo print.lo usertask.lo flags.lo store.lo nsched.lo  verisys.lo check.lo gates.lo schedule.lo strobe.lo glue.lo  obstack.lo scope.lo timescal.lo systask.lo copy.lo veriwell.lo  pass2.lo sdf.lo sdfclex.lo decl.lo io.lo pass3.lo trace.lo  dumpvar.lo lex.lo pli.lo tree.lo sdflex.lo eval.lo macro.lo  udp.lo exec.lo specify.lo plihacks.lo random.lo ../lxt/liblxt.la ../replace/libreplace.la -lreadline
rm -fr .libs/libveriwell.lax
mkdir .libs/libveriwell.lax
rm -fr .libs/libveriwell.lax/liblxt.a
mkdir .libs/libveriwell.lax/liblxt.a
Extracting /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src/../lxt/.libs/liblxt.a
(cd .libs/libveriwell.lax/liblxt.a && ar x /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src/../lxt/.libs/liblxt.a)
rm -fr .libs/libveriwell.lax/libreplace.a
mkdir .libs/libveriwell.lax/libreplace.a
Extracting /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src/../replace/.libs/libreplace.a
(cd .libs/libveriwell.lax/libreplace.a && ar x /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src/../replace/.libs/libreplace.a)
clang++ -r -keep_private_externs -nostdlib -o .libs/libveriwell.0.0.0.dylib-master.o  .libs/parse.o .libs/sdfparse.o .libs/sdfcpars.o .libs/multdiv.o .libs/print.o .libs/usertask.o .libs/flags.o .libs/store.o .libs/nsched.o .libs/verisys.o .libs/check.o .libs/gates.o .libs/schedule.o .libs/strobe.o .libs/glue.o .libs/obstack.o .libs/scope.o .libs/timescal.o .libs/systask.o .libs/copy.o .libs/veriwell.o .libs/pass2.o .libs/sdf.o .libs/sdfclex.o .libs/decl.o .libs/io.o .libs/pass3.o .libs/trace.o .libs/dumpvar.o .libs/lex.o .libs/pli.o .libs/tree.o .libs/sdflex.o .libs/eval.o .libs/macro.o .libs/udp.o .libs/exec.o .libs/specify.o .libs/plihacks.o .libs/random.o  .libs/libveriwell.lax/liblxt.a/lxt.o .libs/libveriwell.lax/liblxt.a/lxt2.o .libs/libveriwell.lax/liblxt.a/lxt2_write.o .libs/libveriwell.lax/liblxt.a/lxt_write.o  .libs/libveriwell.lax/libreplace.a/readline.o 
clang++ -dynamiclib  -o .libs/libveriwell.0.0.0.dylib .libs/libveriwell.0.0.0.dylib-master.o  -L/opt/pkg/lib -lreadline  -install_name  /opt/pkg/lib/libveriwell.0.dylib -Wl,-compatibility_version -Wl,1 -Wl,-current_version -Wl,1.0
Undefined symbols for architecture x86_64:
  "_BZ2_bzclose", referenced from:
      _lt_close in libveriwell.0.0.0.dylib-master.o
  "_BZ2_bzdopen", referenced from:
      _lt_set_no_interlace in libveriwell.0.0.0.dylib-master.o
  "_BZ2_bzwrite", referenced from:
      _lt_emit_u8bz in libveriwell.0.0.0.dylib-master.o
      _lt_emit_u16bz in libveriwell.0.0.0.dylib-master.o
      _lt_emit_u24bz in libveriwell.0.0.0.dylib-master.o
      _lt_emit_u32bz in libveriwell.0.0.0.dylib-master.o
      _lt_emit_doublebz in libveriwell.0.0.0.dylib-master.o
      _lt_emit_stringbz in libveriwell.0.0.0.dylib-master.o
  "_gzclose", referenced from:
      _gzflush_buffered in libveriwell.0.0.0.dylib-master.o
      _lt_close in libveriwell.0.0.0.dylib-master.o
  "_gzdopen", referenced from:
      _lxt2_wr_flush_granule in libveriwell.0.0.0.dylib-master.o
      _lxt2_wr_emitfacs in libveriwell.0.0.0.dylib-master.o
      _lt_close in libveriwell.0.0.0.dylib-master.o
      _lt_set_chg_compress in libveriwell.0.0.0.dylib-master.o
  "_gzflush", referenced from:
      _gzflush_buffered in libveriwell.0.0.0.dylib-master.o
  "_gzwrite", referenced from:
      _lxt2_wr_flush_granule in libveriwell.0.0.0.dylib-master.o
      _lxt2_wr_emit_u32z in libveriwell.0.0.0.dylib-master.o
      _gzflush_buffered in libveriwell.0.0.0.dylib-master.o
      _lxt2_wr_emit_u24z in libveriwell.0.0.0.dylib-master.o
      _lxt2_wr_emitfacs in libveriwell.0.0.0.dylib-master.o
      _lt_close in libveriwell.0.0.0.dylib-master.o
      _lt_emit_u32z in libveriwell.0.0.0.dylib-master.o
      ...
  "_veriuser_version_str", referenced from:
      init() in libveriwell.0.0.0.dylib-master.o
  "_veriusertfs", referenced from:
      Usertask_Lookup(char*) in libveriwell.0.0.0.dylib-master.o
ld: symbol(s) not found for architecture x86_64
clang: error: linker command failed with exit code 1 (use -v to see invocation)
*** [libveriwell.la] Error code 1

bmake[3]: stopped making "all-am" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src
bmake[3]: 1 error

bmake[3]: stopped making "all-am" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src
*** [all] Error code 2

bmake[2]: stopped making "all" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src
bmake[2]: 1 error

bmake[2]: stopped making "all" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7/src

bmake[1]: stopped making "all-recursive" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7
*** [all] Error code 2

bmake: stopped making "all" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7
bmake: 1 error

bmake: stopped making "all" in /Users/pbulk/build/cad/veriwell/work/veriwell-2.8.7
*** Error code 2

Stop.
bmake[1]: stopped making "all" in /Volumes/data/jenkins/workspace/pkgsrc-macos-trunk-x86_64/cad/veriwell
*** Error code 1

Stop.
bmake: stopped making "all" in /Volumes/data/jenkins/workspace/pkgsrc-macos-trunk-x86_64/cad/veriwell